x393  1.0
FPGAcodeforElphelNC393camera
simul_clk_mult_div.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
42  parameter MULTIPLIER = 3,
43  parameter DIVISOR = 5,
44  parameter SKIP_FIRST = 5
45  ) (
46  input clk_in,
47  input en,
48  output clk_out
49 );
50  wire clk_int;
51  generate
52  if (MULTIPLIER > 1)
56  ) simul_clk_mult_i (
57  .clk_in (clk_in), // input
58  .en (en), // input
59  .clk_out (clk_int) // output
60  );
61  else
62  assign clk_int = clk_in;
63  endgenerate
64  generate
65  if (DIVISOR > 1)
67  .DIVISOR (DIVISOR)
68  ) sim_clk_div_i (
69  .clk_in (clk_int), // input
70  .en (en), // input
71  .clk_out (clk_out) // output
72  );
73  else
74  assign clk_out = clk_int;
75  endgenerate
76 endmodule
77 
simul_clk_mult_i simul_clk_mult[generate]
sim_clk_div_i sim_clk_div[generate]