x393  1.0
FPGAcodeforElphelNC393camera
sensors393 Member List

This is the complete list of members for sensors393, including all inherited members.

SHIFT_WIDTHdebug_slaveParameter
READ_WIDTHdebug_slaveParameter
WRITE_WIDTHdebug_slaveParameter
DEBUG_CMD_LATENCYdebug_slaveParameter
mclkdebug_slaveInput
mrstdebug_slaveInput
debug_didebug_slaveInput
debug_sldebug_slaveInput
debug_dodebug_slaveOutput
rd_datadebug_slaveInput
wr_datadebug_slaveOutput
stbdebug_slaveOutput
data_srdebug_slaveSignal
cmddebug_slaveSignal
cmd_regdebug_slaveSignal
cmd_reg_dlydebug_slaveSignal
ext_rdatadebug_slaveSignal
DATA_WIDTHfifo_same_clockParameter
DATA_DEPTHfifo_same_clockParameter
rstfifo_same_clockInput
clkfifo_same_clockInput
sync_rstfifo_same_clockInput
wefifo_same_clockInput
refifo_same_clockInput
data_infifo_same_clockInput
data_outfifo_same_clockOutput
nemptyfifo_same_clockOutput
half_fullfifo_same_clockOutput
DATA_2DEPTHfifo_same_clockParameter
fillfifo_same_clockSignal
inregfifo_same_clockSignal
outregfifo_same_clockSignal
rafifo_same_clockSignal
wafifo_same_clockSignal
wemfifo_same_clockSignal
remfifo_same_clockSignal
out_fullfifo_same_clockSignal
ramfifo_same_clockSignal
ram_nemptyfifo_same_clockSignal
sensor_membuf.EXTRA_DLYpulse_cross_clockParameter
histogram_saxi.EXTRA_DLYpulse_cross_clockParameter
sensor_membuf.rstpulse_cross_clockInput
histogram_saxi.rstpulse_cross_clockInput
sensor_membuf.src_clkpulse_cross_clockInput
histogram_saxi.src_clkpulse_cross_clockInput
sensor_membuf.dst_clkpulse_cross_clockInput
histogram_saxi.dst_clkpulse_cross_clockInput
sensor_membuf.in_pulsepulse_cross_clockInput
histogram_saxi.in_pulsepulse_cross_clockInput
sensor_membuf.out_pulsepulse_cross_clockOutput
histogram_saxi.out_pulsepulse_cross_clockOutput
sensor_membuf.busypulse_cross_clockOutput
histogram_saxi.busypulse_cross_clockOutput
sensor_membuf.EXTRA_DLY_SAFEpulse_cross_clockParameter
histogram_saxi.EXTRA_DLY_SAFEpulse_cross_clockParameter
sensor_membuf.in_regpulse_cross_clockSignal
histogram_saxi.in_regpulse_cross_clockSignal
sensor_membuf.out_regpulse_cross_clockSignal
histogram_saxi.out_regpulse_cross_clockSignal
sensor_membuf.busy_rpulse_cross_clockSignal
histogram_saxi.busy_rpulse_cross_clockSignal
FIFO_TYPEstatus_router2Parameter
rststatus_router2Input
clkstatus_router2Input
srststatus_router2Input
db_in0status_router2Input
rq_in0status_router2Input
start_in0status_router2Output
db_in1status_router2Input
rq_in1status_router2Input
start_in1status_router2Output
db_outstatus_router2Output
rq_outstatus_router2Output
start_outstatus_router2Input
rq_instatus_router2Signal
start_rcvstatus_router2Signal
rcv_rest_rstatus_router2Signal
fifo_half_fullstatus_router2Signal
fifo0_outstatus_router2Signal
fifo1_outstatus_router2Signal
fifo_last_bytestatus_router2Signal
fifo_nempty_prestatus_router2Signal
fifo_nemptystatus_router2Signal
fifo_restatus_router2Signal
next_chnstatus_router2Signal
current_chn_rstatus_router2Signal
snd_rest_rstatus_router2Signal
snd_pre_startstatus_router2Signal
snd_last_bytestatus_router2Signal
chn_sel_wstatus_router2Signal
early_chnstatus_router2Signal
set_other_only_wstatus_router2Signal
rststatus_router4Input
clkstatus_router4Input
srststatus_router4Input
db_in0status_router4Input
rq_in0status_router4Input
start_in0status_router4Output
db_in1status_router4Input
rq_in1status_router4Input
start_in1status_router4Output
db_in2status_router4Input
rq_in2status_router4Input
start_in2status_router4Output
db_in3status_router4Input
rq_in3status_router4Input
start_in3status_router4Output
db_outstatus_router4Output
rq_outstatus_router4Output
start_outstatus_router4Input
db_intstatus_router4Signal
rq_intstatus_router4Signal
start_intstatus_router4Signal
IODELAY_GRPidelay_ctrlParameter
refclkidelay_ctrlInput
rstidelay_ctrlInput
rdyidelay_ctrlOutput
REGISTERSram_var_w_var_rParameter
LOG2WIDTH_WRram_var_w_var_rParameter
LOG2WIDTH_RDram_var_w_var_rParameter
11862ram_var_w_var_rParameter
rclkram_var_w_var_rInput
raddrram_var_w_var_rInput
renram_var_w_var_rInput
regenram_var_w_var_rInput
data_outram_var_w_var_rOutput
wclkram_var_w_var_rInput
waddrram_var_w_var_rInput
weram_var_w_var_rInput
webram_var_w_var_rInput
data_inram_var_w_var_rInput
HIST_SAXI_ADDRhistogram_saxiParameter
HIST_SAXI_ADDR_MASKhistogram_saxiParameter
HIST_SAXI_MODE_ADDRhistogram_saxiParameter
HIST_SAXI_MODE_WIDTHhistogram_saxiParameter
HIST_SAXI_ENhistogram_saxiParameter
HIST_SAXI_NRESEThistogram_saxiParameter
HIST_CONFIRM_WRITEhistogram_saxiParameter
HIST_SAXI_AWCACHEhistogram_saxiParameter
HIST_SAXI_MODE_ADDR_MASKhistogram_saxiParameter
377histogram_saxiParameter
378histogram_saxiParameter
mclkhistogram_saxiInput
aclkhistogram_saxiInput
mrsthistogram_saxiInput
arsthistogram_saxiInput
frame0histogram_saxiInput
hist_request0histogram_saxiInput
hist_grant0histogram_saxiOutput
hist_chn0histogram_saxiInput
hist_dvalid0histogram_saxiInput
hist_data0histogram_saxiInput
frame1histogram_saxiInput
hist_request1histogram_saxiInput
hist_grant1histogram_saxiOutput
hist_chn1histogram_saxiInput
hist_dvalid1histogram_saxiInput
hist_data1histogram_saxiInput
frame2histogram_saxiInput
hist_request2histogram_saxiInput
hist_grant2histogram_saxiOutput
hist_chn2histogram_saxiInput
hist_dvalid2histogram_saxiInput
hist_data2histogram_saxiInput
frame3histogram_saxiInput
hist_request3histogram_saxiInput
hist_grant3histogram_saxiOutput
hist_chn3histogram_saxiInput
hist_dvalid3histogram_saxiInput
hist_data3histogram_saxiInput
cmd_adhistogram_saxiInput
cmd_stbhistogram_saxiInput
saxi_awaddrhistogram_saxiOutput
saxi_awvalidhistogram_saxiOutput
saxi_awreadyhistogram_saxiInput
saxi_awidhistogram_saxiOutput
saxi_awlockhistogram_saxiOutput
saxi_awcachehistogram_saxiOutput
saxi_awprothistogram_saxiOutput
saxi_awlenhistogram_saxiOutput
saxi_awsizehistogram_saxiOutput
saxi_awbursthistogram_saxiOutput
saxi_awqoshistogram_saxiOutput
saxi_wdatahistogram_saxiOutput
saxi_wvalidhistogram_saxiOutput
saxi_wreadyhistogram_saxiInput
saxi_widhistogram_saxiOutput
saxi_wlasthistogram_saxiOutput
saxi_wstrbhistogram_saxiOutput
saxi_bvalidhistogram_saxiInput
saxi_breadyhistogram_saxiOutput
saxi_bidhistogram_saxiInput
saxi_bresphistogram_saxiInput
debug_dohistogram_saxiOutput
debug_slhistogram_saxiInput
debug_dihistogram_saxiInput
ATTRIB_WIDTHhistogram_saxiParameter
modehistogram_saxiSignal
enhistogram_saxiSignal
awcache_modehistogram_saxiSignal
confirm_writehistogram_saxiSignal
nresethistogram_saxiSignal
we_modehistogram_saxiSignal
we_addrhistogram_saxiSignal
cmd_datahistogram_saxiSignal
cmd_wahistogram_saxiSignal
hist_start_pagehistogram_saxiSignal
bursthistogram_saxiSignal
pri_rqhistogram_saxiSignal
enc_rqhistogram_saxiSignal
busy_whistogram_saxiSignal
busy_rhistogram_saxiSignal
mux_selhistogram_saxiSignal
start_whistogram_saxiSignal
startedhistogram_saxiSignal
attribhistogram_saxiSignal
page_sent_mclkhistogram_saxiSignal
page_wrhistogram_saxiSignal
page_wahistogram_saxiSignal
pages_in_buf_wrhistogram_saxiSignal
buf_fullhistogram_saxiSignal
davhistogram_saxiSignal
dav_rhistogram_saxiSignal
burst_done_whistogram_saxiSignal
granthistogram_saxiSignal
dinhistogram_saxiSignal
din_rhistogram_saxiSignal
rq_inhistogram_saxiSignal
sub_chn_whistogram_saxiSignal
sub_chn_rhistogram_saxiSignal
frame_whistogram_saxiSignal
frame_rhistogram_saxiSignal
wr_attrhistogram_saxiSignal
chn_selhistogram_saxiSignal
chn_granthistogram_saxiSignal
page_sent_aclkhistogram_saxiSignal
preen_aclkhistogram_saxiSignal
en_aclkhistogram_saxiSignal
prenreset_aclkhistogram_saxiSignal
nreset_aclkhistogram_saxiSignal
page_written_aclkhistogram_saxiSignal
pages_in_buf_rdhistogram_saxiSignal
page_rdhistogram_saxiSignal
page_rahistogram_saxiSignal
buf_emptyhistogram_saxiSignal
block_runhistogram_saxiSignal
block_start_whistogram_saxiSignal
block_start_rhistogram_saxiSignal
block_endhistogram_saxiSignal
attrib_rhistogram_saxiSignal
attrib_chnhistogram_saxiSignal
attrib_framehistogram_saxiSignal
attrib_colorhistogram_saxiSignal
hist_start_page_rhistogram_saxiSignal
hist_start_addrhistogram_saxiSignal
start_addr_rhistogram_saxiSignal
saxi_start_burst_whistogram_saxiSignal
first_bursthistogram_saxiSignal
inter_buf_datahistogram_saxiSignal
wburst_cntrhistogram_saxiSignal
num_bursts_in_bufhistogram_saxiSignal
num_bursts_pendinghistogram_saxiSignal
fifo_nemptyhistogram_saxiSignal
fifo_half_fullhistogram_saxiSignal
buf_rehistogram_saxiSignal
buf_re_whistogram_saxiSignal
fifo_rehistogram_saxiSignal
saxi_bvalid_rhistogram_saxiSignal
page_read_runhistogram_saxiSignal
extra_wahistogram_saxiSignal
extra_rahistogram_saxiSignal
num_addr_saxihistogram_saxiSignal
num_data_saxihistogram_saxiSignal
SENSOR_NUMBERsensor_channelParameter
SENSOR_GROUP_ADDRsensor_channelParameter
SENSOR_BASE_INCsensor_channelParameter
SENSI2C_STATUS_REG_BASEsensor_channelParameter
SENSI2C_STATUS_REG_INCsensor_channelParameter
SENSI2C_STATUS_REG_RELsensor_channelParameter
SENSIO_STATUS_REG_RELsensor_channelParameter
SENS_SYNC_RADDRsensor_channelParameter
SENS_SYNC_MASKsensor_channelParameter
SENS_SYNC_MULTsensor_channelParameter
SENS_SYNC_LATEsensor_channelParameter
SENS_SYNC_FBITSsensor_channelParameter
SENS_SYNC_LBITSsensor_channelParameter
SENS_SYNC_LATE_DFLTsensor_channelParameter
SENS_SYNC_MINBITSsensor_channelParameter
SENS_SYNC_MINPERsensor_channelParameter
SENSOR_NUM_HISTOGRAMsensor_channelParameter
HISTOGRAM_RAM_MODEsensor_channelParameter
SENS_NUM_SUBCHNsensor_channelParameter
SENS_GAMMA_BUFFERsensor_channelParameter
SENSOR_CTRL_RADDRsensor_channelParameter
SENSOR_CTRL_ADDR_MASKsensor_channelParameter
SENSOR_MODE_WIDTHsensor_channelParameter
SENSOR_HIST_EN_BITSsensor_channelParameter
SENSOR_HIST_NRST_BITSsensor_channelParameter
SENSOR_CHN_EN_BITsensor_channelParameter
SENSOR_16BIT_BITsensor_channelParameter
SENSI2C_CTRL_RADDRsensor_channelParameter
SENSI2C_CTRL_MASKsensor_channelParameter
SENSI2C_CTRLsensor_channelParameter
SENSI2C_CMD_TABLEsensor_channelParameter
SENSI2C_CMD_TANDsensor_channelParameter
SENSI2C_CMD_RESETsensor_channelParameter
SENSI2C_CMD_RUNsensor_channelParameter
SENSI2C_CMD_RUN_PBITSsensor_channelParameter
SENSI2C_CMD_SOFT_SDAsensor_channelParameter
SENSI2C_CMD_SOFT_SCLsensor_channelParameter
SENSI2C_CMD_FIFO_RDsensor_channelParameter
SENSI2C_CMD_ACIVEsensor_channelParameter
SENSI2C_CMD_ACIVE_EARLY0sensor_channelParameter
SENSI2C_CMD_ACIVE_SDAsensor_channelParameter
SENSI2C_TBL_RAHsensor_channelParameter
SENSI2C_TBL_RAH_BITSsensor_channelParameter
SENSI2C_TBL_RNWREGsensor_channelParameter
SENSI2C_TBL_SAsensor_channelParameter
SENSI2C_TBL_SA_BITSsensor_channelParameter
SENSI2C_TBL_NBWRsensor_channelParameter
SENSI2C_TBL_NBWR_BITSsensor_channelParameter
SENSI2C_TBL_NBRDsensor_channelParameter
SENSI2C_TBL_NBRD_BITSsensor_channelParameter
SENSI2C_TBL_NABRDsensor_channelParameter
SENSI2C_TBL_DLYsensor_channelParameter
SENSI2C_TBL_DLY_BITSsensor_channelParameter
SENSI2C_STATUSsensor_channelParameter
SENS_GAMMA_RADDRsensor_channelParameter
SENS_GAMMA_ADDR_MASKsensor_channelParameter
SENS_GAMMA_CTRLsensor_channelParameter
SENS_GAMMA_ADDR_DATAsensor_channelParameter
SENS_GAMMA_HEIGHT01sensor_channelParameter
SENS_GAMMA_HEIGHT2sensor_channelParameter
SENS_GAMMA_MODE_WIDTHsensor_channelParameter
SENS_GAMMA_MODE_BAYERsensor_channelParameter
SENS_GAMMA_MODE_PAGEsensor_channelParameter
SENS_GAMMA_MODE_ENsensor_channelParameter
SENS_GAMMA_MODE_REPETsensor_channelParameter
SENS_GAMMA_MODE_TRIGsensor_channelParameter
SENS_LENS_RADDRsensor_channelParameter
SENS_LENS_ADDR_MASKsensor_channelParameter
SENS_LENS_COEFFsensor_channelParameter
SENS_LENS_AXsensor_channelParameter
SENS_LENS_AX_MASKsensor_channelParameter
SENS_LENS_AYsensor_channelParameter
SENS_LENS_AY_MASKsensor_channelParameter
SENS_LENS_Csensor_channelParameter
SENS_LENS_C_MASKsensor_channelParameter
SENS_LENS_BXsensor_channelParameter
SENS_LENS_BX_MASKsensor_channelParameter
SENS_LENS_BYsensor_channelParameter
SENS_LENS_BY_MASKsensor_channelParameter
SENS_LENS_SCALESsensor_channelParameter
SENS_LENS_SCALES_MASKsensor_channelParameter
SENS_LENS_FAT0_INsensor_channelParameter
SENS_LENS_FAT0_IN_MASKsensor_channelParameter
SENS_LENS_FAT0_OUTsensor_channelParameter
SENS_LENS_FAT0_OUT_MASKsensor_channelParameter
SENS_LENS_POST_SCALEsensor_channelParameter
SENS_LENS_POST_SCALE_MASKsensor_channelParameter
SENSIO_RADDRsensor_channelParameter
SENSIO_ADDR_MASKsensor_channelParameter
SENSIO_CTRLsensor_channelParameter
SENS_CTRL_MRSTsensor_channelParameter
SENS_CTRL_ARSTsensor_channelParameter
SENS_CTRL_AROsensor_channelParameter
SENS_CTRL_RST_MMCMsensor_channelParameter
SENS_CTRL_IGNORE_EMBEDsensor_channelParameter
SENS_CTRL_LD_DLYsensor_channelParameter
SENS_CTRL_GP0sensor_channelParameter
SENS_CTRL_GP1sensor_channelParameter
SENSIO_STATUSsensor_channelParameter
SENSIO_JTAGsensor_channelParameter
SENS_JTAG_PGMENsensor_channelParameter
SENS_JTAG_PROGsensor_channelParameter
SENS_JTAG_TCKsensor_channelParameter
SENS_JTAG_TMSsensor_channelParameter
SENS_JTAG_TDIsensor_channelParameter
SENSIO_DELAYSsensor_channelParameter
SENSI2C_ABS_RADDRsensor_channelParameter
SENSI2C_REL_RADDRsensor_channelParameter
SENSI2C_ADDR_MASKsensor_channelParameter
HISTOGRAM_RADDR0sensor_channelParameter
HISTOGRAM_RADDR1sensor_channelParameter
HISTOGRAM_RADDR2sensor_channelParameter
HISTOGRAM_RADDR3sensor_channelParameter
HISTOGRAM_ADDR_MASKsensor_channelParameter
HISTOGRAM_LEFT_TOPsensor_channelParameter
HISTOGRAM_WIDTH_HEIGHTsensor_channelParameter
SENSI2C_DRIVEsensor_channelParameter
SENSI2C_IBUF_LOW_PWRsensor_channelParameter
SENSI2C_SLEWsensor_channelParameter
NUM_FRAME_BITSsensor_channelParameter
IODELAY_GRPsensor_channelParameter
IDELAY_VALUEsensor_channelParameter
PXD_DRIVEsensor_channelParameter
PXD_IBUF_LOW_PWRsensor_channelParameter
PXD_SLEWsensor_channelParameter
SENS_REFCLK_FREQUENCYsensor_channelParameter
SENS_HIGH_PERFORMANCE_MODEsensor_channelParameter
PXD_CAPACITANCEsensor_channelParameter
PXD_CLK_DIVsensor_channelParameter
PXD_CLK_DIV_BITSsensor_channelParameter
SENS_PHASE_WIDTHsensor_channelParameter
SENS_BANDWIDTHsensor_channelParameter
CLKIN_PERIOD_SENSORsensor_channelParameter
CLKFBOUT_MULT_SENSORsensor_channelParameter
CLKFBOUT_PHASE_SENSORsensor_channelParameter
IPCLK_PHASEsensor_channelParameter
IPCLK2X_PHASEsensor_channelParameter
PXD_IOSTANDARDsensor_channelParameter
SENSI2C_IOSTANDARDsensor_channelParameter
BUF_IPCLKsensor_channelParameter
BUF_IPCLK2Xsensor_channelParameter
SENS_DIVCLK_DIVIDEsensor_channelParameter
SENS_REF_JITTER1sensor_channelParameter
SENS_REF_JITTER2sensor_channelParameter
SENS_SS_ENsensor_channelParameter
SENS_SS_MODEsensor_channelParameter
7982sensor_channelParameter
HISPI_MSB_FIRSTsensor_channelParameter
HISPI_NUMLANESsensor_channelParameter
HISPI_DELAY_CLKsensor_channelParameter
HISPI_MMCMsensor_channelParameter
HISPI_KEEP_IRSTsensor_channelParameter
HISPI_WAIT_ALL_LANESsensor_channelParameter
HISPI_FIFO_DEPTHsensor_channelParameter
HISPI_FIFO_STARTsensor_channelParameter
HISPI_CAPACITANCEsensor_channelParameter
HISPI_DIFF_TERMsensor_channelParameter
HISPI_UNTUNED_SPLITsensor_channelParameter
HISPI_DQS_BIASsensor_channelParameter
HISPI_IBUF_DELAY_VALUEsensor_channelParameter
HISPI_IBUF_LOW_PWRsensor_channelParameter
HISPI_IFD_DELAY_VALUEsensor_channelParameter
7998sensor_channelParameter
7999sensor_channelParameter
pclksensor_channelInput
mrstsensor_channelInput
prstsensor_channelInput
sns_dpsensor_channelInput
sns_dnsensor_channelInput
sns_dp74sensor_channelInout
sns_dn74sensor_channelInout
sns_clkpsensor_channelInput
sns_clknsensor_channelInput
sns_sclsensor_channelInout
sns_sdasensor_channelInout
sns_ctlsensor_channelOutput
sns_pgsensor_channelInout
mclksensor_channelInput
cmd_ad_insensor_channelInput
cmd_stb_insensor_channelInput
status_adsensor_channelOutput
status_rqsensor_channelOutput
status_startsensor_channelInput
trigger_modesensor_channelInput
trig_insensor_channelInput
frame_num_seqsensor_channelInput
doutsensor_channelOutput
dout_validsensor_channelOutput
last_in_linesensor_channelOutput
sof_outsensor_channelOutput
eof_outsensor_channelOutput
sof_out_mclksensor_channelOutput
sof_late_mclksensor_channelOutput
hist_requestsensor_channelOutput
hist_grantsensor_channelInput
hist_chnsensor_channelOutput
hist_dvalidsensor_channelOutput
hist_datasensor_channelOutput
debug_dosensor_channelOutput
debug_slsensor_channelInput
debug_disensor_channelInput
DEBUG_RING_LENGTHsensor_channelParameter
debug_ringsensor_channelSignal
SENSOR_BASE_ADDRsensor_channelParameter
SENSI2C_STATUS_REGsensor_channelParameter
SENSIO_STATUS_REGsensor_channelParameter
SENS_SYNC_ADDRsensor_channelParameter
SENSOR_CTRL_ADDRsensor_channelParameter
SENSI2C_CTRL_ADDRsensor_channelParameter
SENS_GAMMA_ADDRsensor_channelParameter
SENSIO_ADDRsensor_channelParameter
SENS_LENS_ADDRsensor_channelParameter
SENSI2C_ABS_ADDRsensor_channelParameter
SENSI2C_REL_ADDRsensor_channelParameter
HISTOGRAM_ADDR0sensor_channelParameter
HISTOGRAM_ADDR1sensor_channelParameter
HISTOGRAM_ADDR2sensor_channelParameter
HISTOGRAM_ADDR3sensor_channelParameter
cmd_adsensor_channelSignal
cmd_stbsensor_channelSignal
sens_i2c_status_adsensor_channelSignal
sens_i2c_status_rqsensor_channelSignal
sens_i2c_status_startsensor_channelSignal
sens_phys_status_adsensor_channelSignal
sens_phys_status_rqsensor_channelSignal
sens_phys_status_startsensor_channelSignal
pxdsensor_channelSignal
hactsensor_channelSignal
sofsensor_channelSignal
eofsensor_channelSignal
sof_out_syncsensor_channelSignal
lens_pxd_insensor_channelSignal
lens_hact_insensor_channelSignal
lens_sof_insensor_channelSignal
lens_eof_insensor_channelSignal
gamma_pxd_insensor_channelSignal
gamma_hact_insensor_channelSignal
gamma_sof_insensor_channelSignal
gamma_eof_insensor_channelSignal
gamma_bayersensor_channelSignal
gamma_pxd_outsensor_channelSignal
gamma_hact_outsensor_channelSignal
gamma_sof_outsensor_channelSignal
gamma_eof_outsensor_channelSignal
sensor_ctrl_datasensor_channelSignal
sensor_ctrl_wesensor_channelSignal
modesensor_channelSignal
hist_ensensor_channelSignal
en_mclksensor_channelSignal
en_pclksensor_channelSignal
hist_nrstsensor_channelSignal
bit16sensor_channelSignal
hist_rqsensor_channelSignal
hist_grsensor_channelSignal
hist_dvsensor_channelSignal
hist_do0sensor_channelSignal
hist_do1sensor_channelSignal
hist_do2sensor_channelSignal
hist_do3sensor_channelSignal
gamma_data_rsensor_channelSignal
dout_rsensor_channelSignal
dav_8bitsensor_channelSignal
dav_rsensor_channelSignal
dout_wsensor_channelSignal
dav_wsensor_channelSignal
trigsensor_channelSignal
sof_out_rsensor_channelSignal
eof_out_rsensor_channelSignal
prstssensor_channelSignal
hact_to_fifo_rsensor_channelSignal
debug_line_cntrsensor_channelSignal
debug_linessensor_channelSignal
hact_cntrsensor_channelSignal
vact_cntrsensor_channelSignal
WADDR_WIDTHsensor_membufParameter
pclksensor_membufInput
prstsensor_membufInput
mrstsensor_membufInput
frame_run_mclksensor_membufInput
px_datasensor_membufInput
px_validsensor_membufInput
last_in_linesensor_membufInput
mclksensor_membufInput
rpage_setsensor_membufInput
rpage_nextsensor_membufInput
buf_rdsensor_membufInput
buf_doutsensor_membufOutput
page_writtensensor_membufOutput
wpagesensor_membufSignal
waddrsensor_membufSignal
rst_pntrsensor_membufSignal
frame_run_pclksensor_membufSignal
rst_wpntrsensor_membufSignal
inc_wpage_wsensor_membufSignal
px_usesensor_membufSignal
SENSOR_GROUP_ADDRsensors393
SENSOR_BASE_INCsensors393
HIST_SAXI_ADDR_RELsensors393
HIST_SAXI_MODE_ADDR_RELsensors393
SENSI2C_STATUS_REG_BASEsensors393
SENSI2C_STATUS_REG_INCsensors393
SENSI2C_STATUS_REG_RELsensors393
SENSIO_STATUS_REG_RELsensors393
SENSOR_NUM_HISTOGRAMsensors393
HISTOGRAM_RAM_MODEsensors393
SENS_NUM_SUBCHNsensors393
SENS_GAMMA_BUFFERsensors393
SENSOR_CTRL_RADDRsensors393
SENSOR_CTRL_ADDR_MASKsensors393
SENSOR_MODE_WIDTHsensors393
SENSOR_HIST_EN_BITSsensors393
SENSOR_HIST_NRST_BITSsensors393
SENSOR_CHN_EN_BITsensors393
SENSOR_16BIT_BITsensors393
SENSI2C_CTRL_RADDRsensors393
SENSI2C_CTRL_MASKsensors393
SENSI2C_CTRLsensors393
SENSI2C_CMD_TABLEsensors393
SENSI2C_CMD_TANDsensors393
SENSI2C_CMD_RESETsensors393
SENSI2C_CMD_RUNsensors393
SENSI2C_CMD_RUN_PBITSsensors393
SENSI2C_CMD_SOFT_SDAsensors393
SENSI2C_CMD_SOFT_SCLsensors393
SENSI2C_CMD_FIFO_RDsensors393
SENSI2C_CMD_ACIVEsensors393
SENSI2C_CMD_ACIVE_EARLY0sensors393
SENSI2C_CMD_ACIVE_SDAsensors393
SENSI2C_TBL_RAHsensors393
SENSI2C_TBL_RAH_BITSsensors393
SENSI2C_TBL_RNWREGsensors393
SENSI2C_TBL_SAsensors393
SENSI2C_TBL_SA_BITSsensors393
SENSI2C_TBL_NBWRsensors393
SENSI2C_TBL_NBWR_BITSsensors393
SENSI2C_TBL_NBRDsensors393
SENSI2C_TBL_NBRD_BITSsensors393
SENSI2C_TBL_NABRDsensors393
SENSI2C_TBL_DLYsensors393
SENSI2C_TBL_DLY_BITSsensors393
SENSI2C_STATUSsensors393
SENS_SYNC_RADDRsensors393
SENS_SYNC_MASKsensors393
SENS_SYNC_MULTsensors393
SENS_SYNC_LATEsensors393
SENS_GAMMA_RADDRsensors393
SENS_GAMMA_ADDR_MASKsensors393
SENS_GAMMA_CTRLsensors393
SENS_GAMMA_ADDR_DATAsensors393
SENS_GAMMA_HEIGHT01sensors393
SENS_GAMMA_HEIGHT2sensors393
SENS_GAMMA_MODE_WIDTHsensors393
SENS_GAMMA_MODE_BAYERsensors393
SENS_GAMMA_MODE_PAGEsensors393
SENS_GAMMA_MODE_ENsensors393
SENS_GAMMA_MODE_REPETsensors393
SENS_GAMMA_MODE_TRIGsensors393
SENS_LENS_RADDRsensors393
SENS_LENS_ADDR_MASKsensors393
SENS_LENS_COEFFsensors393
SENS_LENS_AXsensors393
SENS_LENS_AX_MASKsensors393
SENS_LENS_AYsensors393
SENS_LENS_AY_MASKsensors393
SENS_LENS_Csensors393
SENS_LENS_C_MASKsensors393
SENS_LENS_BXsensors393
SENS_LENS_BX_MASKsensors393
SENS_LENS_BYsensors393
SENS_LENS_BY_MASKsensors393
SENS_LENS_SCALESsensors393
SENS_LENS_SCALES_MASKsensors393
SENS_LENS_FAT0_INsensors393
SENS_LENS_FAT0_IN_MASKsensors393
SENS_LENS_FAT0_OUTsensors393
SENS_LENS_FAT0_OUT_MASKsensors393
SENS_LENS_POST_SCALEsensors393
SENS_LENS_POST_SCALE_MASKsensors393
SENSIO_RADDRsensors393
SENSIO_ADDR_MASKsensors393
SENSIO_CTRLsensors393
SENS_CTRL_MRSTsensors393
SENS_CTRL_ARSTsensors393
SENS_CTRL_AROsensors393
SENS_CTRL_RST_MMCMsensors393
SENS_CTRL_IGNORE_EMBEDsensors393
SENS_CTRL_LD_DLYsensors393
SENS_CTRL_GP0sensors393
SENS_CTRL_GP1sensors393
SENSIO_STATUSsensors393
SENSIO_JTAGsensors393
SENS_JTAG_PGMENsensors393
SENS_JTAG_PROGsensors393
SENS_JTAG_TCKsensors393
SENS_JTAG_TMSsensors393
SENS_JTAG_TDIsensors393
SENSIO_DELAYSsensors393
SENSI2C_ABS_RADDRsensors393
SENSI2C_REL_RADDRsensors393
SENSI2C_ADDR_MASKsensors393
HISTOGRAM_RADDR0sensors393
HISTOGRAM_RADDR1sensors393
HISTOGRAM_RADDR2sensors393
HISTOGRAM_RADDR3sensors393
HISTOGRAM_ADDR_MASKsensors393
HISTOGRAM_LEFT_TOPsensors393
HISTOGRAM_WIDTH_HEIGHTsensors393
SENSI2C_DRIVEsensors393
SENSI2C_IBUF_LOW_PWRsensors393
SENSI2C_SLEWsensors393
HIST_SAXI_ADDR_MASKsensors393
HIST_SAXI_MODE_WIDTHsensors393
HIST_SAXI_ENsensors393
HIST_SAXI_NRESETsensors393
HIST_CONFIRM_WRITEsensors393
HIST_SAXI_AWCACHEsensors393
HIST_SAXI_MODE_ADDR_MASKsensors393
NUM_FRAME_BITSsensors393
SENS_SYNC_FBITSsensors393
SENS_SYNC_LBITSsensors393
SENS_SYNC_LATE_DFLTsensors393
SENS_SYNC_MINBITSsensors393
SENS_SYNC_MINPERsensors393
IDELAY_VALUEsensors393
PXD_DRIVEsensors393
PXD_IBUF_LOW_PWRsensors393
PXD_SLEWsensors393
SENS_REFCLK_FREQUENCYsensors393
SENS_HIGH_PERFORMANCE_MODEsensors393
PXD_CAPACITANCEsensors393
PXD_CLK_DIVsensors393
PXD_CLK_DIV_BITSsensors393
SENS_PHASE_WIDTHsensors393
SENS_BANDWIDTHsensors393
CLKIN_PERIOD_SENSORsensors393
CLKFBOUT_MULT_SENSORsensors393
CLKFBOUT_PHASE_SENSORsensors393
IPCLK_PHASEsensors393
IPCLK2X_PHASEsensors393
PXD_IOSTANDARDsensors393
SENSI2C_IOSTANDARDsensors393
BUF_IPCLK_SENS0sensors393
BUF_IPCLK2X_SENS0sensors393
BUF_IPCLK_SENS1sensors393
BUF_IPCLK2X_SENS1sensors393
BUF_IPCLK_SENS2sensors393
BUF_IPCLK2X_SENS2sensors393
BUF_IPCLK_SENS3sensors393
BUF_IPCLK2X_SENS3sensors393
SENS_DIVCLK_DIVIDEsensors393
SENS_REF_JITTER1sensors393
SENS_REF_JITTER2sensors393
SENS_SS_ENsensors393
SENS_SS_MODEsensors393
8615sensors393
HISPI_MSB_FIRSTsensors393
HISPI_NUMLANESsensors393
HISPI_DELAY_CLK0sensors393
HISPI_DELAY_CLK1sensors393
HISPI_DELAY_CLK2sensors393
HISPI_DELAY_CLK3sensors393
HISPI_MMCM0sensors393
HISPI_MMCM1sensors393
HISPI_MMCM2sensors393
HISPI_MMCM3sensors393
HISPI_KEEP_IRSTsensors393
HISPI_WAIT_ALL_LANESsensors393
HISPI_FIFO_DEPTHsensors393
HISPI_FIFO_STARTsensors393
HISPI_CAPACITANCEsensors393
HISPI_DIFF_TERMsensors393
HISPI_UNTUNED_SPLITsensors393
HISPI_DQS_BIASsensors393
HISPI_IBUF_DELAY_VALUEsensors393
HISPI_IBUF_LOW_PWRsensors393
HISPI_IFD_DELAY_VALUEsensors393
8637sensors393
8638sensors393
pclksensors393
ref_clksensors393
dly_rstsensors393
mrstsensors393
prstsensors393
arstsensors393
mclksensors393
cmd_ad_insensors393
cmd_stb_insensors393
status_adsensors393
status_rqsensors393
status_startsensors393
sns_dpsensors393
sns_dnsensors393
sns_dp74sensors393
sns_dn74sensors393
sns_clkpsensors393
sns_clknsensors393
sns_sclsensors393
sns_sdasensors393
sns_ctlsensors393
sns_pgsensors393
frame_run_mclksensors393
rpage_setsensors393
rpage_nextsensors393
buf_rdsensors393
buf_doutsensors393
page_writtensensors393
trigger_modesensors393
trig_insensors393
sof_out_pclksensors393
eof_out_pclksensors393
sof_out_mclksensors393
sof_late_mclksensors393
frame_num0sensors393
frame_num1sensors393
frame_num2sensors393
frame_num3sensors393
idelay_rdysensors393
aclksensors393
saxi_awaddrsensors393
saxi_awvalidsensors393
saxi_awreadysensors393
saxi_awidsensors393
saxi_awlocksensors393
saxi_awcachesensors393
saxi_awprotsensors393
saxi_awlensensors393
saxi_awsizesensors393
saxi_awburstsensors393
saxi_awqossensors393
saxi_wdatasensors393
saxi_wvalidsensors393
saxi_wreadysensors393
saxi_widsensors393
saxi_wlastsensors393
saxi_wstrbsensors393
saxi_bvalidsensors393
saxi_breadysensors393
saxi_bidsensors393
saxi_brespsensors393
debug_dosensors393
debug_slsensors393
debug_disensors393
DEBUG_RING_LENGTHsensors393
debug_ringsensors393
idelay_ctrl_rdysensors393
cmd_adsensors393
cmd_stbsensors393
status_ad_chnsensors393
status_rq_chnsensors393
status_start_chnsensors393
px_datasensors393
px_validsensors393
last_in_linesensors393
hist_requestsensors393
hist_grantsensors393
hist_chnsensors393
hist_dvalidsensors393
hist_datasensors393
frame_numsensors393
ADDRcmd_deserParameter
ADDR_MASKcmd_deserParameter
NUM_CYCLEScmd_deserParameter
ADDR_WIDTHcmd_deserParameter
DATA_WIDTHcmd_deserParameter
ADDR1cmd_deserParameter
ADDR_MASK1cmd_deserParameter
ADDR2cmd_deserParameter
ADDR_MASK2cmd_deserParameter
WE_EARLYcmd_deserParameter
rstcmd_deserInput
clkcmd_deserInput
srstcmd_deserInput
adcmd_deserInput
stbcmd_deserInput
addrcmd_deserOutput
datacmd_deserOutput
wecmd_deserOutput
WE_WIDTHcmd_deserParameter
ALWAYS_11 mclkhistogram_saxiAlways Construct
ALWAYS_12 aclkhistogram_saxiAlways Construct
ALWAYS_13 mclkhistogram_saxiAlways Construct
ALWAYS_14 mclkhistogram_saxiAlways Construct
ALWAYS_15 mclkhistogram_saxiAlways Construct
ALWAYS_16 aclkhistogram_saxiAlways Construct
ALWAYS_383 pclksensor_channelAlways Construct
ALWAYS_384 mclksensor_channelAlways Construct
ALWAYS_385 mclksensor_channelAlways Construct
ALWAYS_386 pclksensor_channelAlways Construct
ALWAYS_395 mclksensor_membufAlways Construct
ALWAYS_396 pclksensor_membufAlways Construct
ALWAYS_397 mclksensors393Always Construct
ALWAYS_497 mclkdebug_slaveAlways Construct
ALWAYS_508 clk or rstfifo_same_clockAlways Construct
ALWAYS_509 clkfifo_same_clockAlways Construct
sensor_membuf.ALWAYS_532 src_clk or rstpulse_cross_clockAlways Construct
histogram_saxi.ALWAYS_532 src_clk or rstpulse_cross_clockAlways Construct
sensor_membuf.ALWAYS_533 dst_clkpulse_cross_clockAlways Construct
histogram_saxi.ALWAYS_533 dst_clkpulse_cross_clockAlways Construct
ALWAYS_546 rst or clkstatus_router2Always Construct
sensor_channel.cmd_desersensor_channelModule Instance
histogram_saxi.cmd_deserhistogram_saxiModule Instance
cmd_deser_dualcmd_deserModule Instance
cmd_deser_multicmd_deserModule Instance
cmd_deser_singlecmd_deserModule Instance
sensor_channel.debug_slavesensor_channelModule Instance
histogram_saxi.debug_slavehistogram_saxiModule Instance
fifo_1cyclestatus_router2Module Instance
histogram_saxi.fifo_same_clockhistogram_saxiModule Instance
status_router4.fifo_same_clockstatus_router2Module Instance
GENERATE [1027]sensor_channelGENERATE
GENERATE [107]ram_var_w_var_rGENERATE
GENERATE [122]status_router2GENERATE
GENERATE [435]sensors393
GENERATE [63]cmd_deserGENERATE
GENERATE [856]sensor_channelGENERATE
GENERATE [915]sensor_channelGENERATE
GENERATE [971]sensor_channelGENERATE
histogram_saxisensors393
idelay_ctrlsensors393
idelay_ctrlsensors393
IDELAYCTRLidelay_ctrlModule Instance
lens_flat393sensor_channelModule Instance
level_cross_clockssensor_channelModule Instance
mcntrl_buf_wrsensor_membufModule Instance
sensor_membuf.pulse_cross_clocksensor_membufModule Instance
histogram_saxi.pulse_cross_clockhistogram_saxiModule Instance
ram36_declare_init.vhram_var_w_var_rInclude
ram36_pass_init.vhram_var_w_var_rInclude
ram_64w_64rram_var_w_var_rModule Instance
ram_64w_lt64rram_var_w_var_rModule Instance
ram_dummyram_var_w_var_rModule Instance
ram_lt64w_64rram_var_w_var_rModule Instance
ram_lt64w_lt64rram_var_w_var_rModule Instance
sensor_membuf.ram_var_w_var_rmcntrl_buf_wrModule Instance
histogram_saxi.ram_var_w_var_rhistogram_saxiModule Instance
sens_10398sensor_channelModule Instance
sens_gammasensor_channelModule Instance
sens_histogram_muxsensor_channelModule Instance
sens_histogram_snglclksensor_channelModule Instance
sens_histogram_snglclksensor_channelModule Instance
sens_histogram_snglclksensor_channelModule Instance
sens_histogram_snglclksensor_channelModule Instance
sens_histogram_snglclk_dummysensor_channelModule Instance
sens_histogram_snglclk_dummysensor_channelModule Instance
sens_histogram_snglclk_dummysensor_channelModule Instance
sens_histogram_snglclk_dummysensor_channelModule Instance
sens_syncsensor_channelModule Instance
sensor_channelsensors393
sensor_i2c_iosensor_channelModule Instance
sensor_membufsensors393
sensor_channel.status_router2sensor_channelModule Instance
status_router4.status_router2status_router4Module Instance
status_router4sensors393