x393  1.0
FPGAcodeforElphelNC393camera
sensors393 Module Reference
Inheritance diagram for sensors393:
Collaboration diagram for sensors393:

Static Public Member Functions

Always Constructs

ALWAYS_397  ( mclk )

Public Attributes

Inputs

pclk  
ref_clk  
dly_rst  
mrst  
prst  
arst  
mclk  
cmd_ad_in   [ 7 : 0 ]
cmd_stb_in  
status_start  
sns_dp   [ 15 : 0 ]
sns_dn   [ 15 : 0 ]
sns_clkp   [ 3 : 0 ]
sns_clkn   [ 3 : 0 ]
frame_run_mclk   [ 3 : 0 ]
rpage_set   [ 3 : 0 ]
rpage_next   [ 3 : 0 ]
buf_rd   [ 3 : 0 ]
trigger_mode  
trig_in   [ 3 : 0 ]
frame_num0   [NUM_FRAME_BITS - 1 : 0 ]
frame_num1   [NUM_FRAME_BITS - 1 : 0 ]
frame_num2   [NUM_FRAME_BITS - 1 : 0 ]
frame_num3   [NUM_FRAME_BITS - 1 : 0 ]
aclk  
saxi_awready  
saxi_wready  
saxi_bvalid  
saxi_bid   [ 5 : 0 ]
saxi_bresp   [ 1 : 0 ]
debug_sl  
debug_di  

Inouts

sns_dp74   [ 15 : 0 ]
sns_dn74   [ 15 : 0 ]
sns_scl   [ 3 : 0 ]
sns_sda   [ 3 : 0 ]
sns_ctl   [ 3 : 0 ]
sns_pg   [ 3 : 0 ]

Outputs

status_ad   [ 7 : 0 ]
status_rq  
buf_dout   [ 255 : 0 ]
page_written   [ 3 : 0 ]
sof_out_pclk   [ 3 : 0 ]
eof_out_pclk   [ 3 : 0 ]
sof_out_mclk   [ 3 : 0 ]
sof_late_mclk   [ 3 : 0 ]
idelay_rdy  
saxi_awaddr   [ 31 : 0 ]
saxi_awvalid  
saxi_awid   [ 5 : 0 ]
saxi_awlock   [ 1 : 0 ]
saxi_awcache   [ 3 : 0 ]
saxi_awprot   [ 2 : 0 ]
saxi_awlen   [ 3 : 0 ]
saxi_awsize   [ 1 : 0 ]
saxi_awburst   [ 1 : 0 ]
saxi_awqos   [ 3 : 0 ]
saxi_wdata   [ 31 : 0 ]
saxi_wvalid  
saxi_wid   [ 5 : 0 ]
saxi_wlast  
saxi_wstrb   [ 3 : 0 ]
saxi_bready  
debug_do  

Parameters

SENSOR_GROUP_ADDR  'h400
SENSOR_BASE_INC  'h040
HIST_SAXI_ADDR_REL  'h100
HIST_SAXI_MODE_ADDR_REL  'h110
SENSI2C_STATUS_REG_BASE  'h20
SENSI2C_STATUS_REG_INC   2
SENSI2C_STATUS_REG_REL   0
SENSIO_STATUS_REG_REL   1
SENSOR_NUM_HISTOGRAM   3
HISTOGRAM_RAM_MODE  "BUF32"
SENS_NUM_SUBCHN   3
SENS_GAMMA_BUFFER   0
SENSOR_CTRL_RADDR   0
SENSOR_CTRL_ADDR_MASK  'h7ff
SENSOR_MODE_WIDTH   10
SENSOR_HIST_EN_BITS   0
SENSOR_HIST_NRST_BITS   4
SENSOR_CHN_EN_BIT   8
SENSOR_16BIT_BIT   9
SENSI2C_CTRL_RADDR   2
SENSI2C_CTRL_MASK  'h7fe
SENSI2C_CTRL  'h0
SENSI2C_CMD_TABLE   29
SENSI2C_CMD_TAND   28
SENSI2C_CMD_RESET   14
SENSI2C_CMD_RUN   13
SENSI2C_CMD_RUN_PBITS   1
SENSI2C_CMD_SOFT_SDA   6
SENSI2C_CMD_SOFT_SCL   4
SENSI2C_CMD_FIFO_RD   3
SENSI2C_CMD_ACIVE   2
SENSI2C_CMD_ACIVE_EARLY0   1
SENSI2C_CMD_ACIVE_SDA   0
SENSI2C_TBL_RAH   0
SENSI2C_TBL_RAH_BITS   8
SENSI2C_TBL_RNWREG   8
SENSI2C_TBL_SA   9
SENSI2C_TBL_SA_BITS   7
SENSI2C_TBL_NBWR   16
SENSI2C_TBL_NBWR_BITS   4
SENSI2C_TBL_NBRD   16
SENSI2C_TBL_NBRD_BITS   3
SENSI2C_TBL_NABRD   19
SENSI2C_TBL_DLY   20
SENSI2C_TBL_DLY_BITS   8
SENSI2C_STATUS  'h1
SENS_SYNC_RADDR  'h4
SENS_SYNC_MASK  'h7fc
SENS_SYNC_MULT  'h2
SENS_SYNC_LATE  'h3
SENS_GAMMA_RADDR  'h38
SENS_GAMMA_ADDR_MASK  'h7fc
SENS_GAMMA_CTRL  'h0
SENS_GAMMA_ADDR_DATA  'h1
SENS_GAMMA_HEIGHT01  'h2
SENS_GAMMA_HEIGHT2  'h3
SENS_GAMMA_MODE_WIDTH   5
SENS_GAMMA_MODE_BAYER   0
SENS_GAMMA_MODE_PAGE   2
SENS_GAMMA_MODE_EN   3
SENS_GAMMA_MODE_REPET   4
SENS_GAMMA_MODE_TRIG   5
SENS_LENS_RADDR  'h3c
SENS_LENS_ADDR_MASK  'h7fc
SENS_LENS_COEFF  'h3
SENS_LENS_AX  'h00
SENS_LENS_AX_MASK  'hf8
SENS_LENS_AY  'h08
SENS_LENS_AY_MASK  'hf8
SENS_LENS_C  'h10
SENS_LENS_C_MASK  'hf8
SENS_LENS_BX  'h20
SENS_LENS_BX_MASK  'he0
SENS_LENS_BY  'h40
SENS_LENS_BY_MASK  'he0
SENS_LENS_SCALES  'h60
SENS_LENS_SCALES_MASK  'hf8
SENS_LENS_FAT0_IN  'h68
SENS_LENS_FAT0_IN_MASK  'hff
SENS_LENS_FAT0_OUT  'h69
SENS_LENS_FAT0_OUT_MASK  'hff
SENS_LENS_POST_SCALE  'h6a
SENS_LENS_POST_SCALE_MASK  'hff
SENSIO_RADDR   8
SENSIO_ADDR_MASK  'h7f8
SENSIO_CTRL  'h0
SENS_CTRL_MRST   0
SENS_CTRL_ARST   2
SENS_CTRL_ARO   4
SENS_CTRL_RST_MMCM   6
SENS_CTRL_IGNORE_EMBED   8
SENS_CTRL_LD_DLY   10
SENS_CTRL_GP0   12
SENS_CTRL_GP1   14
SENSIO_STATUS  'h1
SENSIO_JTAG  'h2
SENS_JTAG_PGMEN   8
SENS_JTAG_PROG   6
SENS_JTAG_TCK   4
SENS_JTAG_TMS   2
SENS_JTAG_TDI   0
SENSIO_DELAYS  'h4
SENSI2C_ABS_RADDR  'h10
SENSI2C_REL_RADDR  'h20
SENSI2C_ADDR_MASK  'h7f0
HISTOGRAM_RADDR0  'h30
HISTOGRAM_RADDR1  'h32
HISTOGRAM_RADDR2  'h34
HISTOGRAM_RADDR3  'h36
HISTOGRAM_ADDR_MASK  'h7fe
HISTOGRAM_LEFT_TOP  'h0
HISTOGRAM_WIDTH_HEIGHT  'h1
SENSI2C_DRIVE  integer 12
SENSI2C_IBUF_LOW_PWR  "TRUE"
SENSI2C_SLEW  "SLOW"
HIST_SAXI_ADDR_MASK  'h7f0
HIST_SAXI_MODE_WIDTH   8
HIST_SAXI_EN   0
HIST_SAXI_NRESET   1
HIST_CONFIRM_WRITE   2
HIST_SAXI_AWCACHE   4 'h3
HIST_SAXI_MODE_ADDR_MASK  'h7ff
NUM_FRAME_BITS   4
SENS_SYNC_FBITS   16
SENS_SYNC_LBITS   16
SENS_SYNC_LATE_DFLT   15
SENS_SYNC_MINBITS   8
SENS_SYNC_MINPER   130
IDELAY_VALUE  integer 0
PXD_DRIVE  integer 12
PXD_IBUF_LOW_PWR  "TRUE"
PXD_SLEW  "SLOW"
SENS_REFCLK_FREQUENCY  real 300 . 0
SENS_HIGH_PERFORMANCE_MODE  "FALSE"
PXD_CAPACITANCE  "DONT_CARE"
PXD_CLK_DIV   10
PXD_CLK_DIV_BITS   4
SENS_PHASE_WIDTH   8
SENS_BANDWIDTH  "OPTIMIZED"
CLKIN_PERIOD_SENSOR   3 . 000
CLKFBOUT_MULT_SENSOR   3
CLKFBOUT_PHASE_SENSOR   0 . 000
IPCLK_PHASE   0 . 000
IPCLK2X_PHASE   0 . 000
PXD_IOSTANDARD  "LVCMOS18"
SENSI2C_IOSTANDARD  "LVCMOS18"
BUF_IPCLK_SENS0  "BUFR"
BUF_IPCLK2X_SENS0  "BUFR"
BUF_IPCLK_SENS1  "BUFG"
BUF_IPCLK2X_SENS1  "BUFG"
BUF_IPCLK_SENS2  "BUFR"
BUF_IPCLK2X_SENS2  "BUFR"
BUF_IPCLK_SENS3  "BUFG"
BUF_IPCLK2X_SENS3  "BUFG"
SENS_DIVCLK_DIVIDE   1
SENS_REF_JITTER1   0 . 010
SENS_REF_JITTER2   0 . 010
SENS_SS_EN  "FALSE"
SENS_SS_MODE  "CENTER_HIGH"
8615  
HISPI_MSB_FIRST   0
HISPI_NUMLANES   4
HISPI_DELAY_CLK0  "FALSE"
HISPI_DELAY_CLK1  "FALSE"
HISPI_DELAY_CLK2  "FALSE"
HISPI_DELAY_CLK3  "FALSE"
HISPI_MMCM0  "TRUE"
HISPI_MMCM1  "TRUE"
HISPI_MMCM2  "TRUE"
HISPI_MMCM3  "TRUE"
HISPI_KEEP_IRST   5
HISPI_WAIT_ALL_LANES   4 'h8
HISPI_FIFO_DEPTH   4
HISPI_FIFO_START   7
HISPI_CAPACITANCE  "DONT_CARE"
HISPI_DIFF_TERM  "TRUE"
HISPI_UNTUNED_SPLIT  "FALSE"
HISPI_DQS_BIAS  "TRUE"
HISPI_IBUF_DELAY_VALUE  " 0 "
HISPI_IBUF_LOW_PWR  "TRUE"
HISPI_IFD_DELAY_VALUE  "AUTO"
8637  
8638  
DEBUG_RING_LENGTH   5

GENERATE

GENERATE [435]  

Signals

wire[DEBUG_RING_LENGTH : 0 ]  debug_ring
wire[ 1 : 0 ]  idelay_ctrl_rdy
reg[ 7 : 0 ]  cmd_ad
reg  cmd_stb
wire[ 31 : 0 ]  status_ad_chn
wire[ 3 : 0 ]  status_rq_chn
wire[ 3 : 0 ]  status_start_chn
wire[ 63 : 0 ]  px_data
wire[ 3 : 0 ]  px_valid
wire[ 3 : 0 ]  last_in_line
wire[ 3 : 0 ]  hist_request
wire[ 3 : 0 ]  hist_grant
wire[ 7 : 0 ]  hist_chn
wire[ 3 : 0 ]  hist_dvalid
wire[ 127 : 0 ]  hist_data
wire[ 4 *NUM_FRAME_BITS - 1 : 0 ]  frame_num

Module Instances

sensor_channel::sensor_channel_i   Module sensor_channel [generate]
sensor_membuf::sensor_membuf_i   Module sensor_membuf [generate]
histogram_saxi::histogram_saxi_i   Module histogram_saxi
status_router4::status_router4_i   Module status_router4
idelay_ctrl::idelay_ctrl_sensor12_i   Module idelay_ctrl
idelay_ctrl::idelay_ctrl_sensor34_i   Module idelay_ctrl

Detailed Description

Definition at line 42 of file sensors393.v.

Member Function Documentation

ALWAYS_397 (   mclk  
)
Always Construct

Definition at line 430 of file sensors393.v.

Member Data Documentation

SENSOR_GROUP_ADDR 'h400
Parameter

Definition at line 44 of file sensors393.v.

SENSOR_BASE_INC 'h040
Parameter

Definition at line 45 of file sensors393.v.

HIST_SAXI_ADDR_REL 'h100
Parameter

Definition at line 47 of file sensors393.v.

HIST_SAXI_MODE_ADDR_REL 'h110
Parameter

Definition at line 48 of file sensors393.v.

SENSI2C_STATUS_REG_BASE 'h20
Parameter

Definition at line 51 of file sensors393.v.

Definition at line 52 of file sensors393.v.

Definition at line 53 of file sensors393.v.

SENSIO_STATUS_REG_REL 1
Parameter

Definition at line 54 of file sensors393.v.

SENSOR_NUM_HISTOGRAM 3
Parameter

Definition at line 55 of file sensors393.v.

HISTOGRAM_RAM_MODE "BUF32"
Parameter

Definition at line 56 of file sensors393.v.

SENS_NUM_SUBCHN 3
Parameter

Definition at line 57 of file sensors393.v.

SENS_GAMMA_BUFFER 0
Parameter

Definition at line 58 of file sensors393.v.

SENSOR_CTRL_RADDR 0
Parameter

Definition at line 61 of file sensors393.v.

SENSOR_CTRL_ADDR_MASK 'h7ff
Parameter

Definition at line 62 of file sensors393.v.

SENSOR_MODE_WIDTH 10
Parameter

Definition at line 64 of file sensors393.v.

SENSOR_HIST_EN_BITS 0
Parameter

Definition at line 65 of file sensors393.v.

SENSOR_HIST_NRST_BITS 4
Parameter

Definition at line 66 of file sensors393.v.

SENSOR_CHN_EN_BIT 8
Parameter

Definition at line 67 of file sensors393.v.

SENSOR_16BIT_BIT 9
Parameter

Definition at line 68 of file sensors393.v.

SENSI2C_CTRL_RADDR 2
Parameter

Definition at line 70 of file sensors393.v.

SENSI2C_CTRL_MASK 'h7fe
Parameter

Definition at line 71 of file sensors393.v.

SENSI2C_CTRL 'h0
Parameter

Definition at line 73 of file sensors393.v.

SENSI2C_CMD_TABLE 29
Parameter

Definition at line 75 of file sensors393.v.

SENSI2C_CMD_TAND 28
Parameter

Definition at line 76 of file sensors393.v.

SENSI2C_CMD_RESET 14
Parameter

Definition at line 77 of file sensors393.v.

SENSI2C_CMD_RUN 13
Parameter

Definition at line 78 of file sensors393.v.

SENSI2C_CMD_RUN_PBITS 1
Parameter

Definition at line 79 of file sensors393.v.

SENSI2C_CMD_SOFT_SDA 6
Parameter

Definition at line 80 of file sensors393.v.

SENSI2C_CMD_SOFT_SCL 4
Parameter

Definition at line 81 of file sensors393.v.

SENSI2C_CMD_FIFO_RD 3
Parameter

Definition at line 82 of file sensors393.v.

SENSI2C_CMD_ACIVE 2
Parameter

Definition at line 83 of file sensors393.v.

Definition at line 84 of file sensors393.v.

SENSI2C_CMD_ACIVE_SDA 0
Parameter

Definition at line 85 of file sensors393.v.

SENSI2C_TBL_RAH 0
Parameter

Definition at line 88 of file sensors393.v.

SENSI2C_TBL_RAH_BITS 8
Parameter

Definition at line 89 of file sensors393.v.

SENSI2C_TBL_RNWREG 8
Parameter

Definition at line 90 of file sensors393.v.

SENSI2C_TBL_SA 9
Parameter

Definition at line 91 of file sensors393.v.

SENSI2C_TBL_SA_BITS 7
Parameter

Definition at line 92 of file sensors393.v.

SENSI2C_TBL_NBWR 16
Parameter

Definition at line 93 of file sensors393.v.

SENSI2C_TBL_NBWR_BITS 4
Parameter

Definition at line 94 of file sensors393.v.

SENSI2C_TBL_NBRD 16
Parameter

Definition at line 95 of file sensors393.v.

SENSI2C_TBL_NBRD_BITS 3
Parameter

Definition at line 96 of file sensors393.v.

SENSI2C_TBL_NABRD 19
Parameter

Definition at line 97 of file sensors393.v.

SENSI2C_TBL_DLY 20
Parameter

Definition at line 98 of file sensors393.v.

SENSI2C_TBL_DLY_BITS 8
Parameter

Definition at line 99 of file sensors393.v.

SENSI2C_STATUS 'h1
Parameter

Definition at line 101 of file sensors393.v.

SENS_SYNC_RADDR 'h4
Parameter

Definition at line 103 of file sensors393.v.

SENS_SYNC_MASK 'h7fc
Parameter

Definition at line 104 of file sensors393.v.

SENS_SYNC_MULT 'h2
Parameter

Definition at line 106 of file sensors393.v.

SENS_SYNC_LATE 'h3
Parameter

Definition at line 107 of file sensors393.v.

SENS_GAMMA_RADDR 'h38
Parameter

Definition at line 111 of file sensors393.v.

SENS_GAMMA_ADDR_MASK 'h7fc
Parameter

Definition at line 112 of file sensors393.v.

SENS_GAMMA_CTRL 'h0
Parameter

Definition at line 114 of file sensors393.v.

SENS_GAMMA_ADDR_DATA 'h1
Parameter

Definition at line 115 of file sensors393.v.

SENS_GAMMA_HEIGHT01 'h2
Parameter

Definition at line 116 of file sensors393.v.

SENS_GAMMA_HEIGHT2 'h3
Parameter

Definition at line 117 of file sensors393.v.

SENS_GAMMA_MODE_WIDTH 5
Parameter

Definition at line 119 of file sensors393.v.

SENS_GAMMA_MODE_BAYER 0
Parameter

Definition at line 120 of file sensors393.v.

SENS_GAMMA_MODE_PAGE 2
Parameter

Definition at line 121 of file sensors393.v.

SENS_GAMMA_MODE_EN 3
Parameter

Definition at line 122 of file sensors393.v.

SENS_GAMMA_MODE_REPET 4
Parameter

Definition at line 123 of file sensors393.v.

SENS_GAMMA_MODE_TRIG 5
Parameter

Definition at line 124 of file sensors393.v.

SENS_LENS_RADDR 'h3c
Parameter

Definition at line 128 of file sensors393.v.

SENS_LENS_ADDR_MASK 'h7fc
Parameter

Definition at line 129 of file sensors393.v.

SENS_LENS_COEFF 'h3
Parameter

Definition at line 130 of file sensors393.v.

SENS_LENS_AX 'h00
Parameter

Definition at line 131 of file sensors393.v.

SENS_LENS_AX_MASK 'hf8
Parameter

Definition at line 132 of file sensors393.v.

SENS_LENS_AY 'h08
Parameter

Definition at line 133 of file sensors393.v.

SENS_LENS_AY_MASK 'hf8
Parameter

Definition at line 134 of file sensors393.v.

SENS_LENS_C 'h10
Parameter

Definition at line 135 of file sensors393.v.

SENS_LENS_C_MASK 'hf8
Parameter

Definition at line 136 of file sensors393.v.

SENS_LENS_BX 'h20
Parameter

Definition at line 137 of file sensors393.v.

SENS_LENS_BX_MASK 'he0
Parameter

Definition at line 138 of file sensors393.v.

SENS_LENS_BY 'h40
Parameter

Definition at line 139 of file sensors393.v.

SENS_LENS_BY_MASK 'he0
Parameter

Definition at line 140 of file sensors393.v.

SENS_LENS_SCALES 'h60
Parameter

Definition at line 141 of file sensors393.v.

SENS_LENS_SCALES_MASK 'hf8
Parameter

Definition at line 142 of file sensors393.v.

SENS_LENS_FAT0_IN 'h68
Parameter

Definition at line 143 of file sensors393.v.

SENS_LENS_FAT0_IN_MASK 'hff
Parameter

Definition at line 144 of file sensors393.v.

SENS_LENS_FAT0_OUT 'h69
Parameter

Definition at line 145 of file sensors393.v.

SENS_LENS_FAT0_OUT_MASK 'hff
Parameter

Definition at line 146 of file sensors393.v.

SENS_LENS_POST_SCALE 'h6a
Parameter

Definition at line 147 of file sensors393.v.

SENS_LENS_POST_SCALE_MASK 'hff
Parameter

Definition at line 148 of file sensors393.v.

SENSIO_RADDR 8
Parameter

Definition at line 150 of file sensors393.v.

SENSIO_ADDR_MASK 'h7f8
Parameter

Definition at line 151 of file sensors393.v.

SENSIO_CTRL 'h0
Parameter

Definition at line 153 of file sensors393.v.

SENS_CTRL_MRST 0
Parameter

Definition at line 155 of file sensors393.v.

SENS_CTRL_ARST 2
Parameter

Definition at line 156 of file sensors393.v.

SENS_CTRL_ARO 4
Parameter

Definition at line 157 of file sensors393.v.

SENS_CTRL_RST_MMCM 6
Parameter

Definition at line 158 of file sensors393.v.

Definition at line 160 of file sensors393.v.

SENS_CTRL_LD_DLY 10
Parameter

Definition at line 163 of file sensors393.v.

SENS_CTRL_GP0 12
Parameter

Definition at line 165 of file sensors393.v.

SENS_CTRL_GP1 14
Parameter

Definition at line 166 of file sensors393.v.

SENSIO_STATUS 'h1
Parameter

Definition at line 169 of file sensors393.v.

SENSIO_JTAG 'h2
Parameter

Definition at line 170 of file sensors393.v.

SENS_JTAG_PGMEN 8
Parameter

Definition at line 172 of file sensors393.v.

SENS_JTAG_PROG 6
Parameter

Definition at line 173 of file sensors393.v.

SENS_JTAG_TCK 4
Parameter

Definition at line 174 of file sensors393.v.

SENS_JTAG_TMS 2
Parameter

Definition at line 175 of file sensors393.v.

SENS_JTAG_TDI 0
Parameter

Definition at line 176 of file sensors393.v.

SENSIO_DELAYS 'h4
Parameter

Definition at line 178 of file sensors393.v.

SENSI2C_ABS_RADDR 'h10
Parameter

Definition at line 181 of file sensors393.v.

SENSI2C_REL_RADDR 'h20
Parameter

Definition at line 182 of file sensors393.v.

SENSI2C_ADDR_MASK 'h7f0
Parameter

Definition at line 183 of file sensors393.v.

HISTOGRAM_RADDR0 'h30
Parameter

Definition at line 186 of file sensors393.v.

HISTOGRAM_RADDR1 'h32
Parameter

Definition at line 187 of file sensors393.v.

HISTOGRAM_RADDR2 'h34
Parameter

Definition at line 188 of file sensors393.v.

HISTOGRAM_RADDR3 'h36
Parameter

Definition at line 189 of file sensors393.v.

HISTOGRAM_ADDR_MASK 'h7fe
Parameter

Definition at line 190 of file sensors393.v.

HISTOGRAM_LEFT_TOP 'h0
Parameter

Definition at line 192 of file sensors393.v.

HISTOGRAM_WIDTH_HEIGHT 'h1
Parameter

Definition at line 193 of file sensors393.v.

SENSI2C_DRIVE 12
Parameter

Definition at line 196 of file sensors393.v.

SENSI2C_IBUF_LOW_PWR "TRUE"
Parameter

Definition at line 197 of file sensors393.v.

SENSI2C_SLEW "SLOW"
Parameter

Definition at line 198 of file sensors393.v.

HIST_SAXI_ADDR_MASK 'h7f0
Parameter

Definition at line 202 of file sensors393.v.

HIST_SAXI_MODE_WIDTH 8
Parameter

Definition at line 203 of file sensors393.v.

HIST_SAXI_EN 0
Parameter

Definition at line 204 of file sensors393.v.

HIST_SAXI_NRESET 1
Parameter

Definition at line 205 of file sensors393.v.

HIST_CONFIRM_WRITE 2
Parameter

Definition at line 206 of file sensors393.v.

HIST_SAXI_AWCACHE 4 'h3
Parameter

Definition at line 207 of file sensors393.v.

HIST_SAXI_MODE_ADDR_MASK 'h7ff
Parameter

Definition at line 209 of file sensors393.v.

NUM_FRAME_BITS 4
Parameter

Definition at line 210 of file sensors393.v.

SENS_SYNC_FBITS 16
Parameter

Definition at line 213 of file sensors393.v.

SENS_SYNC_LBITS 16
Parameter

Definition at line 214 of file sensors393.v.

SENS_SYNC_LATE_DFLT 15
Parameter

Definition at line 215 of file sensors393.v.

SENS_SYNC_MINBITS 8
Parameter

Definition at line 216 of file sensors393.v.

SENS_SYNC_MINPER 130
Parameter

Definition at line 217 of file sensors393.v.

IDELAY_VALUE 0
Parameter

Definition at line 223 of file sensors393.v.

PXD_DRIVE 12
Parameter

Definition at line 224 of file sensors393.v.

PXD_IBUF_LOW_PWR "TRUE"
Parameter

Definition at line 225 of file sensors393.v.

PXD_SLEW "SLOW"
Parameter

Definition at line 226 of file sensors393.v.

SENS_REFCLK_FREQUENCY 300 . 0
Parameter

Definition at line 227 of file sensors393.v.

SENS_HIGH_PERFORMANCE_MODE "FALSE"
Parameter

Definition at line 228 of file sensors393.v.

PXD_CAPACITANCE "DONT_CARE"
Parameter

Definition at line 230 of file sensors393.v.

PXD_CLK_DIV 10
Parameter

Definition at line 231 of file sensors393.v.

PXD_CLK_DIV_BITS 4
Parameter

Definition at line 232 of file sensors393.v.

SENS_PHASE_WIDTH 8
Parameter

Definition at line 234 of file sensors393.v.

SENS_BANDWIDTH "OPTIMIZED"
Parameter

Definition at line 236 of file sensors393.v.

CLKIN_PERIOD_SENSOR 3 . 000
Parameter

Definition at line 240 of file sensors393.v.

CLKFBOUT_MULT_SENSOR 3
Parameter

Definition at line 241 of file sensors393.v.

CLKFBOUT_PHASE_SENSOR 0 . 000
Parameter

Definition at line 242 of file sensors393.v.

IPCLK_PHASE 0 . 000
Parameter

Definition at line 243 of file sensors393.v.

IPCLK2X_PHASE 0 . 000
Parameter

Definition at line 244 of file sensors393.v.

PXD_IOSTANDARD "LVCMOS18"
Parameter

Definition at line 245 of file sensors393.v.

SENSI2C_IOSTANDARD "LVCMOS18"
Parameter

Definition at line 246 of file sensors393.v.

BUF_IPCLK_SENS0 "BUFR"
Parameter

Definition at line 251 of file sensors393.v.

BUF_IPCLK2X_SENS0 "BUFR"
Parameter

Definition at line 252 of file sensors393.v.

BUF_IPCLK_SENS1 "BUFG"
Parameter

Definition at line 254 of file sensors393.v.

BUF_IPCLK2X_SENS1 "BUFG"
Parameter

Definition at line 255 of file sensors393.v.

BUF_IPCLK_SENS2 "BUFR"
Parameter

Definition at line 257 of file sensors393.v.

BUF_IPCLK2X_SENS2 "BUFR"
Parameter

Definition at line 258 of file sensors393.v.

BUF_IPCLK_SENS3 "BUFG"
Parameter

Definition at line 260 of file sensors393.v.

BUF_IPCLK2X_SENS3 "BUFG"
Parameter

Definition at line 261 of file sensors393.v.

SENS_DIVCLK_DIVIDE 1
Parameter

Definition at line 264 of file sensors393.v.

SENS_REF_JITTER1 0 . 010
Parameter

Definition at line 265 of file sensors393.v.

SENS_REF_JITTER2 0 . 010
Parameter

Definition at line 266 of file sensors393.v.

SENS_SS_EN "FALSE"
Parameter

Definition at line 267 of file sensors393.v.

SENS_SS_MODE "CENTER_HIGH"
Parameter

Definition at line 268 of file sensors393.v.

8615
Parameter

Definition at line 269 of file sensors393.v.

HISPI_MSB_FIRST 0
Parameter

Definition at line 271 of file sensors393.v.

HISPI_NUMLANES 4
Parameter

Definition at line 272 of file sensors393.v.

HISPI_DELAY_CLK0 "FALSE"
Parameter

Definition at line 273 of file sensors393.v.

HISPI_DELAY_CLK1 "FALSE"
Parameter

Definition at line 274 of file sensors393.v.

HISPI_DELAY_CLK2 "FALSE"
Parameter

Definition at line 275 of file sensors393.v.

HISPI_DELAY_CLK3 "FALSE"
Parameter

Definition at line 276 of file sensors393.v.

HISPI_MMCM0 "TRUE"
Parameter

Definition at line 277 of file sensors393.v.

HISPI_MMCM1 "TRUE"
Parameter

Definition at line 278 of file sensors393.v.

HISPI_MMCM2 "TRUE"
Parameter

Definition at line 279 of file sensors393.v.

HISPI_MMCM3 "TRUE"
Parameter

Definition at line 280 of file sensors393.v.

HISPI_KEEP_IRST 5
Parameter

Definition at line 281 of file sensors393.v.

HISPI_WAIT_ALL_LANES 4 'h8
Parameter

Definition at line 282 of file sensors393.v.

HISPI_FIFO_DEPTH 4
Parameter

Definition at line 283 of file sensors393.v.

HISPI_FIFO_START 7
Parameter

Definition at line 284 of file sensors393.v.

HISPI_CAPACITANCE "DONT_CARE"
Parameter

Definition at line 285 of file sensors393.v.

HISPI_DIFF_TERM "TRUE"
Parameter

Definition at line 286 of file sensors393.v.

HISPI_UNTUNED_SPLIT "FALSE"
Parameter

Definition at line 287 of file sensors393.v.

HISPI_DQS_BIAS "TRUE"
Parameter

Definition at line 288 of file sensors393.v.

HISPI_IBUF_DELAY_VALUE " 0 "
Parameter

Definition at line 289 of file sensors393.v.

HISPI_IBUF_LOW_PWR "TRUE"
Parameter

Definition at line 290 of file sensors393.v.

HISPI_IFD_DELAY_VALUE "AUTO"
Parameter

Definition at line 291 of file sensors393.v.

8637
Parameter

Definition at line 292 of file sensors393.v.

8638
Parameter

Definition at line 296 of file sensors393.v.

pclk
Input

Definition at line 302 of file sensors393.v.

ref_clk
Input

Definition at line 305 of file sensors393.v.

dly_rst
Input

Definition at line 306 of file sensors393.v.

mrst
Input

Definition at line 307 of file sensors393.v.

prst
Input

Definition at line 308 of file sensors393.v.

arst
Input

Definition at line 309 of file sensors393.v.

mclk
Input

Definition at line 312 of file sensors393.v.

cmd_ad_in [ 7 : 0 ]
Input

Definition at line 313 of file sensors393.v.

cmd_stb_in
Input

Definition at line 314 of file sensors393.v.

status_ad [ 7 : 0 ]
Output

Definition at line 315 of file sensors393.v.

status_rq
Output

Definition at line 316 of file sensors393.v.

status_start
Input

Definition at line 317 of file sensors393.v.

sns_dp [ 15 : 0 ]
Input

Definition at line 321 of file sensors393.v.

sns_dn [ 15 : 0 ]
Input

Definition at line 322 of file sensors393.v.

sns_dp74 [ 15 : 0 ]
Inout

Definition at line 323 of file sensors393.v.

sns_dn74 [ 15 : 0 ]
Inout

Definition at line 324 of file sensors393.v.

sns_clkp [ 3 : 0 ]
Input

Definition at line 325 of file sensors393.v.

sns_clkn [ 3 : 0 ]
Input

Definition at line 326 of file sensors393.v.

sns_scl [ 3 : 0 ]
Inout

Definition at line 328 of file sensors393.v.

sns_sda [ 3 : 0 ]
Inout

Definition at line 329 of file sensors393.v.

sns_ctl [ 3 : 0 ]
Inout

Definition at line 332 of file sensors393.v.

sns_pg [ 3 : 0 ]
Inout

Definition at line 335 of file sensors393.v.

frame_run_mclk [ 3 : 0 ]
Input

Definition at line 338 of file sensors393.v.

rpage_set [ 3 : 0 ]
Input

Definition at line 339 of file sensors393.v.

rpage_next [ 3 : 0 ]
Input

Definition at line 340 of file sensors393.v.

buf_rd [ 3 : 0 ]
Input

Definition at line 341 of file sensors393.v.

buf_dout [ 255 : 0 ]
Output

Definition at line 342 of file sensors393.v.

page_written [ 3 : 0 ]
Output

Definition at line 343 of file sensors393.v.

trigger_mode
Input

Definition at line 347 of file sensors393.v.

trig_in [ 3 : 0 ]
Input

Definition at line 348 of file sensors393.v.

sof_out_pclk [ 3 : 0 ]
Output

Definition at line 349 of file sensors393.v.

eof_out_pclk [ 3 : 0 ]
Output

Definition at line 350 of file sensors393.v.

sof_out_mclk [ 3 : 0 ]
Output

Definition at line 351 of file sensors393.v.

sof_late_mclk [ 3 : 0 ]
Output

Definition at line 352 of file sensors393.v.

frame_num0 [NUM_FRAME_BITS - 1 : 0 ]
Input

Definition at line 355 of file sensors393.v.

frame_num1 [NUM_FRAME_BITS - 1 : 0 ]
Input

Definition at line 356 of file sensors393.v.

frame_num2 [NUM_FRAME_BITS - 1 : 0 ]
Input

Definition at line 357 of file sensors393.v.

frame_num3 [NUM_FRAME_BITS - 1 : 0 ]
Input

Definition at line 358 of file sensors393.v.

idelay_rdy
Output

Definition at line 360 of file sensors393.v.

aclk
Input

Definition at line 364 of file sensors393.v.

saxi_awaddr [ 31 : 0 ]
Output

Definition at line 365 of file sensors393.v.

saxi_awvalid
Output

Definition at line 366 of file sensors393.v.

saxi_awready
Input

Definition at line 367 of file sensors393.v.

saxi_awid [ 5 : 0 ]
Output

Definition at line 368 of file sensors393.v.

saxi_awlock [ 1 : 0 ]
Output

Definition at line 369 of file sensors393.v.

saxi_awcache [ 3 : 0 ]
Output

Definition at line 370 of file sensors393.v.

saxi_awprot [ 2 : 0 ]
Output

Definition at line 371 of file sensors393.v.

saxi_awlen [ 3 : 0 ]
Output

Definition at line 372 of file sensors393.v.

saxi_awsize [ 1 : 0 ]
Output

Definition at line 373 of file sensors393.v.

saxi_awburst [ 1 : 0 ]
Output

Definition at line 374 of file sensors393.v.

saxi_awqos [ 3 : 0 ]
Output

Definition at line 375 of file sensors393.v.

saxi_wdata [ 31 : 0 ]
Output

Definition at line 377 of file sensors393.v.

saxi_wvalid
Output

Definition at line 378 of file sensors393.v.

saxi_wready
Input

Definition at line 379 of file sensors393.v.

saxi_wid [ 5 : 0 ]
Output

Definition at line 380 of file sensors393.v.

saxi_wlast
Output

Definition at line 381 of file sensors393.v.

saxi_wstrb [ 3 : 0 ]
Output

Definition at line 382 of file sensors393.v.

saxi_bvalid
Input

Definition at line 384 of file sensors393.v.

saxi_bready
Output

Definition at line 385 of file sensors393.v.

saxi_bid [ 5 : 0 ]
Input

Definition at line 386 of file sensors393.v.

saxi_bresp [ 1 : 0 ]
Input

Definition at line 387 of file sensors393.v.

debug_do
Output

Definition at line 393 of file sensors393.v.

debug_sl
Input

Definition at line 394 of file sensors393.v.

debug_di
Input

Definition at line 395 of file sensors393.v.

DEBUG_RING_LENGTH 5
Parameter

Definition at line 401 of file sensors393.v.

debug_ring
Signal

Definition at line 402 of file sensors393.v.

Definition at line 408 of file sensors393.v.

cmd_ad
Signal

Definition at line 410 of file sensors393.v.

cmd_stb
Signal

Definition at line 411 of file sensors393.v.

status_ad_chn
Signal

Definition at line 412 of file sensors393.v.

status_rq_chn
Signal

Definition at line 413 of file sensors393.v.

Definition at line 414 of file sensors393.v.

px_data
Signal

Definition at line 416 of file sensors393.v.

px_valid
Signal

Definition at line 417 of file sensors393.v.

last_in_line
Signal

Definition at line 418 of file sensors393.v.

hist_request
Signal

Definition at line 419 of file sensors393.v.

hist_grant
Signal

Definition at line 420 of file sensors393.v.

hist_chn
Signal

Definition at line 421 of file sensors393.v.

hist_dvalid
Signal

Definition at line 422 of file sensors393.v.

hist_data
Signal

Definition at line 423 of file sensors393.v.

frame_num
Signal

Definition at line 425 of file sensors393.v.

GENERATE [435]
GENERATE

Definition at line 435 of file sensors393.v.

histogram_saxi histogram_saxi_i
Module Instance

Definition at line 691 of file sensors393.v.

idelay_ctrl idelay_ctrl_sensor12_i
Module Instance

Definition at line 786 of file sensors393.v.

idelay_ctrl idelay_ctrl_sensor34_i
Module Instance

Definition at line 794 of file sensors393.v.

sensor_channel sensor_channel_i
Module Instance

Definition at line 438 of file sensors393.v.

sensor_membuf sensor_membuf_i
Module Instance

Definition at line 668 of file sensors393.v.

status_router4 status_router4_i
Module Instance

Definition at line 765 of file sensors393.v.


The documentation for this Module was generated from the following files: