x393  1.0
FPGAcodeforElphelNC393camera
csconvert Module Reference
Inheritance diagram for csconvert:
Collaboration diagram for csconvert:

Static Public Member Functions

Always Constructs

ALWAYS_82  ( xclk )
ALWAYS_83  ( xclk )

Public Attributes

Inputs

xclk  
frame_en  
converter_type   [ 2 : 0 ]
ignore_color  
scale_diff  
hdr  
limit_diff  
m_cb   [ 9 : 0 ]
m_cr   [ 9 : 0 ]
mb_din   [ 7 : 0 ]
bayer_phase   [ 1 : 0 ]
pre2_first_in  

Outputs

signed_y   reg [ 8 : 0 ]
signed_c   reg [ 8 : 0 ]
yaddrw   reg [ 7 : 0 ]
ywe   reg
caddrw   reg [ 7 : 0 ]
cwe   reg
pre_first_out   reg
n000   reg [ 7 : 0 ]
n255   reg [ 7 : 0 ]

Parameters

CMPRS_COLOR18   0
CMPRS_COLOR20   1
CMPRS_MONO16   2
CMPRS_JP4   3
CMPRS_JP4DIFF   4
CMPRS_MONO8   7

Signals

reg  pre_first_in
wire[ 7 : 0 ]  conv18_signed_y
wire[ 7 : 0 ]  conv20_signed_y
wire[ 7 : 0 ]  mono16_signed_y
wire[ 7 : 0 ]  jp4_signed_y
wire[ 8 : 0 ]  jp4diff_signed_y
wire[ 8 : 0 ]  conv18_signed_c
wire[ 8 : 0 ]  conv20_signed_c
wire[ 7 : 0 ]  conv18_yaddrw
wire[ 7 : 0 ]  conv20_yaddrw
wire[ 7 : 0 ]  mono16_yaddrw
wire[ 7 : 0 ]  jp4_yaddrw
wire[ 7 : 0 ]  jp4diff_yaddrw
wire[ 6 : 0 ]  conv18_caddrw
wire[ 6 : 0 ]  conv20_caddrw
wire  conv18_ywe
wire  conv18_cwe
wire  conv20_ywe
wire  conv20_cwe
wire  mono16_ywe
wire  jp4_ywe
wire  jp4diff_ywe
wire  conv18_pre_first_out
wire  conv20_pre_first_out
wire  mono16_pre_first_out
wire  jp4_pre_first_out
wire  jp4diff_pre_first_out
wire[ 7 : 0 ]  conv18_n000
wire[ 7 : 0 ]  conv20_n000
wire[ 7 : 0 ]  mono16_n000
wire[ 7 : 0 ]  jp4_n000
wire[ 7 : 0 ]  jp4diff_n000
wire[ 7 : 0 ]  conv18_n255
wire[ 7 : 0 ]  conv20_n255
wire[ 7 : 0 ]  mono16_n255
wire[ 7 : 0 ]  jp4_n255
wire[ 7 : 0 ]  jp4diff_n255
reg[ 7 : 0 ]  en_converters
reg  ignore_color_r
reg[ 2 : 0 ]  converter_type_r
reg  scale_diff_r
reg  hdr_r
reg[ 1 : 0 ]  bayer_phase_r

Module Instances

csconvert18a::i_csconvert18   Module csconvert18a
csconvert_mono::i_csconvert_mono   Module csconvert_mono
csconvert_jp4::i_csconvert_jp4   Module csconvert_jp4
csconvert_jp4diff::i_csconvert_jp4diff   Module csconvert_jp4diff

Detailed Description

Definition at line 41 of file csconvert.v.

Member Function Documentation

ALWAYS_82 (   xclk  
)
Always Construct

Definition at line 107 of file csconvert.v.

ALWAYS_83 (   xclk  
)
Always Construct

Definition at line 220 of file csconvert.v.

Member Data Documentation

CMPRS_COLOR18 0
Parameter

Definition at line 42 of file csconvert.v.

CMPRS_COLOR20 1
Parameter

Definition at line 43 of file csconvert.v.

CMPRS_MONO16 2
Parameter

Definition at line 44 of file csconvert.v.

CMPRS_JP4 3
Parameter

Definition at line 45 of file csconvert.v.

CMPRS_JP4DIFF 4
Parameter

Definition at line 46 of file csconvert.v.

CMPRS_MONO8 7
Parameter

Definition at line 47 of file csconvert.v.

xclk
Input

Definition at line 49 of file csconvert.v.

frame_en
Input

Definition at line 50 of file csconvert.v.

converter_type [ 2 : 0 ]
Input

Definition at line 51 of file csconvert.v.

ignore_color
Input

Definition at line 53 of file csconvert.v.

scale_diff
Input

Definition at line 56 of file csconvert.v.

hdr
Input

Definition at line 57 of file csconvert.v.

limit_diff
Input

Definition at line 58 of file csconvert.v.

m_cb [ 9 : 0 ]
Input

Definition at line 59 of file csconvert.v.

m_cr [ 9 : 0 ]
Input

Definition at line 60 of file csconvert.v.

mb_din [ 7 : 0 ]
Input

Definition at line 61 of file csconvert.v.

bayer_phase [ 1 : 0 ]
Input

Definition at line 62 of file csconvert.v.

Definition at line 63 of file csconvert.v.

signed_y reg [ 8 : 0 ]
Output

Definition at line 65 of file csconvert.v.

signed_c reg [ 8 : 0 ]
Output

Definition at line 66 of file csconvert.v.

yaddrw reg [ 7 : 0 ]
Output

Definition at line 67 of file csconvert.v.

ywe reg
Output

Definition at line 68 of file csconvert.v.

caddrw reg [ 7 : 0 ]
Output

Definition at line 69 of file csconvert.v.

cwe reg
Output

Definition at line 70 of file csconvert.v.

pre_first_out reg
Output

Definition at line 71 of file csconvert.v.

n000 reg [ 7 : 0 ]
Output

Definition at line 75 of file csconvert.v.

n255 reg [ 7 : 0 ]
Output

Definition at line 76 of file csconvert.v.

pre_first_in
Signal

Definition at line 77 of file csconvert.v.

Definition at line 79 of file csconvert.v.

Definition at line 79 of file csconvert.v.

Definition at line 79 of file csconvert.v.

jp4_signed_y
Signal

Definition at line 79 of file csconvert.v.

Definition at line 80 of file csconvert.v.

Definition at line 80 of file csconvert.v.

Definition at line 80 of file csconvert.v.

conv18_yaddrw
Signal

Definition at line 81 of file csconvert.v.

conv20_yaddrw
Signal

Definition at line 81 of file csconvert.v.

mono16_yaddrw
Signal

Definition at line 81 of file csconvert.v.

jp4_yaddrw
Signal

Definition at line 81 of file csconvert.v.

Definition at line 81 of file csconvert.v.

conv18_caddrw
Signal

Definition at line 82 of file csconvert.v.

conv20_caddrw
Signal

Definition at line 82 of file csconvert.v.

conv18_ywe
Signal

Definition at line 83 of file csconvert.v.

conv18_cwe
Signal

Definition at line 83 of file csconvert.v.

conv20_ywe
Signal

Definition at line 83 of file csconvert.v.

conv20_cwe
Signal

Definition at line 83 of file csconvert.v.

mono16_ywe
Signal

Definition at line 83 of file csconvert.v.

jp4_ywe
Signal

Definition at line 83 of file csconvert.v.

jp4diff_ywe
Signal

Definition at line 83 of file csconvert.v.

Definition at line 84 of file csconvert.v.

Definition at line 84 of file csconvert.v.

Definition at line 84 of file csconvert.v.

Definition at line 84 of file csconvert.v.

Definition at line 84 of file csconvert.v.

conv18_n000
Signal

Definition at line 86 of file csconvert.v.

conv20_n000
Signal

Definition at line 86 of file csconvert.v.

mono16_n000
Signal

Definition at line 86 of file csconvert.v.

jp4_n000
Signal

Definition at line 86 of file csconvert.v.

jp4diff_n000
Signal

Definition at line 86 of file csconvert.v.

conv18_n255
Signal

Definition at line 87 of file csconvert.v.

conv20_n255
Signal

Definition at line 87 of file csconvert.v.

mono16_n255
Signal

Definition at line 87 of file csconvert.v.

jp4_n255
Signal

Definition at line 87 of file csconvert.v.

jp4diff_n255
Signal

Definition at line 87 of file csconvert.v.

en_converters
Signal

Definition at line 89 of file csconvert.v.

Definition at line 90 of file csconvert.v.

Definition at line 91 of file csconvert.v.

scale_diff_r
Signal

Definition at line 94 of file csconvert.v.

hdr_r
Signal

Definition at line 95 of file csconvert.v.

bayer_phase_r
Signal

Definition at line 97 of file csconvert.v.

csconvert18a i_csconvert18
Module Instance

Definition at line 145 of file csconvert.v.

csconvert_jp4 i_csconvert_jp4
Module Instance

Definition at line 174 of file csconvert.v.

csconvert_jp4diff i_csconvert_jp4diff
Module Instance

Definition at line 184 of file csconvert.v.

csconvert_mono i_csconvert_mono
Module Instance

Definition at line 165 of file csconvert.v.


The documentation for this Module was generated from the following files: