x393  1.0
FPGAcodeforElphelNC393camera
sata_phy Module Reference
Inheritance diagram for sata_phy:
Collaboration diagram for sata_phy:

Static Public Member Functions

Always Constructs

ALWAYS_691  ( gtrefclk )
ALWAYS_692  ( gtrefclk )
ALWAYS_693  ( clk or sata_areset )
ALWAYS_694  ( gtrefclk )
ALWAYS_695  ( clk or extrst )
ALWAYS_696  ( clk )
ALWAYS_697  ( clk or extrst )
ALWAYS_698  ( txoutclk )
ALWAYS_699  ( gtrefclk )
ALWAYS_700  ( clk )
ALWAYS_701  ( reliable_clk )
ALWAYS_702  ( txoutclk )
ALWAYS_703  ( clk )
ALWAYS_704  ( clk )

Public Attributes

Inputs

extrst  wire
reliable_clk  wire
extclk_p  wire
extclk_n  wire
rxp_in  wire
rxn_in  wire
ll_data_in  wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]
ll_charisk_in  wire [DATA_BYTE_WIDTH - 1 : 0 ]
set_offline  
comreset_send  
datascope_trig  
drp_rst  
drp_clk  
drp_en  
drp_we  
drp_addr   [ 14 : 0 ]
drp_di   [ 15 : 0 ]

Outputs

clk  wire
rst  wire
phy_ready  wire
gtx_ready  wire
debug_cnt  wire [ 11 : 0 ]
txp_out  wire
txn_out  wire
ll_data_out  wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]
ll_charisk_out  wire [DATA_BYTE_WIDTH - 1 : 0 ]
ll_err_out  wire [DATA_BYTE_WIDTH - 1 : 0 ]
cominit_got  wire
comwake_got  wire
rxelsfull  wire
rxelsempty  wire
cplllock_debug  
usrpll_locked_debug  
re_aligned  
xclk  
datascope_clk  
datascope_waddr   [ADDRESS_BITS - 1 : 0 ]
datascope_we  
datascope_di   [ 31 : 0 ]
drp_rdy  
drp_do   [ 15 : 0 ]
debug_sata   [ 31 : 0 ]
debug_detected_alignp  

Parameters

ADDRESS_BITS   10
DATASCOPE_START_BIT   14
DATASCOPE_POST_MEAS   16
DATA_BYTE_WIDTH   4
ELASTIC_DEPTH   4
ELASTIC_OFFSET   7
TXPMARESET_TIME   5 'h1
RXPMARESET_TIME   5 'h11
RXCDRPHRESET_TIME   5 'h1
RXCDRFREQRESET_TIME   5 'h1
RXDFELPMRESET_TIME   7 'hf
RXISCANRESET_TIME   5 'h1
RXEYERESET_TIME   7 'h0 + RXPMARESET_TIME + RXCDRPHRESET_TIME + RXCDRFREQRESET_TIME + RXDFELPMRESET_TIME + RXISCANRESET_TIME
RST_TIMER_LIMIT   [ 7 : 0 ] 8 'b1000
TXPCSRESET_CYCLES   100
CLKSWING_CFG   [ 1 : 0 ] 2 'b11

Signals

wire[DATA_BYTE_WIDTH * 8 - 1 : 0 ]  txdata
wire[DATA_BYTE_WIDTH * 8 - 1 : 0 ]  rxdata
wire[DATA_BYTE_WIDTH * 8 - 1 : 0 ]  rxdata_out
wire[DATA_BYTE_WIDTH * 8 - 1 : 0 ]  txdata_in
wire[DATA_BYTE_WIDTH - 1 : 0 ]  txcharisk
wire[DATA_BYTE_WIDTH - 1 : 0 ]  rxcharisk
wire[DATA_BYTE_WIDTH - 1 : 0 ]  txcharisk_in
wire[DATA_BYTE_WIDTH - 1 : 0 ]  rxcharisk_out
wire[DATA_BYTE_WIDTH - 1 : 0 ]  rxdisperr
wire[DATA_BYTE_WIDTH - 1 : 0 ]  rxnotintable
wire[ 1 : 0 ]  txbufstatus
reg  gtx_configured
wire  sata_reset_done
wire  rxcomwakedet
wire  rxcominitdet
wire  cplllock
wire  txcominit
wire  txcomwake
wire  rxreset
wire  rxelecidle
wire  txelecidle
wire  rxbyteisaligned
wire  txpcsreset_req
wire  recal_tx_done
wire  rxreset_req
wire  rxreset_ack
wire  clk_phase_align_req
wire  clk_phase_align_ack
wire  rxreset_oob
wire  dbg_rxphaligndone
wire  dbg_rx_clocks_aligned
wire  dbg_rxcdrlock
wire  dbg_rxdlysresetdone
wire  dummy
wire  cplllockdetclk
wire  cpllreset
wire  gtrefclk
wire  rxresetdone
wire  txresetdone
wire  txpcsreset
wire  txreset
wire  txuserrdy
wire  rxuserrdy
wire  txusrclk
wire  txusrclk2
wire  rxusrclk2
wire  txp
wire  txn
wire  rxp
wire  rxn
wire  txoutclk
wire  txpmareset_done
wire  rxeyereset_done
reg[ 2 : 0 ]  txpmareset_cnt
reg[ 6 : 0 ]  rxeyereset_cnt
wire  usrpll_locked
reg  rxreset_f
reg  txreset_f
reg  rxreset_f_r
reg  txreset_f_r
reg  rxreset_f_rr
reg  txreset_f_rr
reg  sata_areset
reg[ 2 : 0 ]  sata_reset_done_r
reg[ 7 : 0 ]  rst_timer
reg  cplllock_r
reg  txpcsreset_r
reg[ 7 : 0 ]  txpcsreset_cntr
reg  recal_tx_done_r
reg[ 3 : 0 ]  rxreset_oob_cnt
wire  rxreset_oob_stop
wire  usrclk_global
wire  usrclk2
reg  usrclk2_r
reg[ 3 : 0 ]  debug_cntr1
reg[ 3 : 0 ]  debug_cntr2
reg[ 3 : 0 ]  debug_cntr3
reg[ 3 : 0 ]  debug_cntr4
reg[ 15 : 0 ]  debug_cntr5
reg[ 15 : 0 ]  debug_cntr6
reg[ 1 : 0 ]  debug_rxbyteisaligned_r
reg  debug_error_r
reg[ 15 : 0 ]  dbg_clk_align_cntr
reg  dbg_clk_align_wait
reg[ 11 : 0 ]  error_count

Module Instances

oob_ctrl::oob_ctrl   Module oob_ctrl
select_clk_buf::bufg_sclk   Module select_clk_buf
IBUFDS_GTE2::ext_clock_buf   Module IBUFDS_GTE2
gtx_wrap::gtx_wrap   Module gtx_wrap

Detailed Description

Definition at line 41 of file sata_phy.v.

Member Function Documentation

ALWAYS_691 (   gtrefclk  
)
Always Construct

Definition at line 240 of file sata_phy.v.

ALWAYS_692 (   gtrefclk  
)
Always Construct

Definition at line 252 of file sata_phy.v.

ALWAYS_693 (   clk or sata_areset  
)
Always Construct

Definition at line 282 of file sata_phy.v.

ALWAYS_694 (   gtrefclk  
)
Always Construct

Definition at line 289 of file sata_phy.v.

ALWAYS_695 (   clk or extrst  
)
Always Construct

Definition at line 314 of file sata_phy.v.

ALWAYS_696 (   clk  
)
Always Construct

Definition at line 331 of file sata_phy.v.

ALWAYS_697 (   clk or extrst  
)
Always Construct

Definition at line 352 of file sata_phy.v.

ALWAYS_698 (   txoutclk  
)
Always Construct

Definition at line 366 of file sata_phy.v.

ALWAYS_699 (   gtrefclk  
)
Always Construct

Definition at line 520 of file sata_phy.v.

ALWAYS_700 (   clk  
)
Always Construct

Definition at line 525 of file sata_phy.v.

ALWAYS_701 (   reliable_clk  
)
Always Construct

Definition at line 530 of file sata_phy.v.

ALWAYS_702 (   txoutclk  
)
Always Construct

Definition at line 535 of file sata_phy.v.

ALWAYS_703 (   clk  
)
Always Construct

Definition at line 540 of file sata_phy.v.

ALWAYS_704 (   clk  
)
Always Construct

Definition at line 554 of file sata_phy.v.

Member Data Documentation

ADDRESS_BITS 10
Parameter

Definition at line 43 of file sata_phy.v.

DATASCOPE_START_BIT 14
Parameter

Definition at line 44 of file sata_phy.v.

DATASCOPE_POST_MEAS 16
Parameter

Definition at line 45 of file sata_phy.v.

DATA_BYTE_WIDTH 4
Parameter

Definition at line 47 of file sata_phy.v.

ELASTIC_DEPTH 4
Parameter

Definition at line 48 of file sata_phy.v.

ELASTIC_OFFSET 7
Parameter

Definition at line 49 of file sata_phy.v.

extrst wire
Input

Definition at line 53 of file sata_phy.v.

clk wire
Output

Definition at line 55 of file sata_phy.v.

rst wire
Output

Definition at line 56 of file sata_phy.v.

reliable_clk wire
Input

Definition at line 59 of file sata_phy.v.

phy_ready wire
Output

Definition at line 62 of file sata_phy.v.

gtx_ready wire
Output

Definition at line 64 of file sata_phy.v.

debug_cnt wire [ 11 : 0 ]
Output

Definition at line 65 of file sata_phy.v.

extclk_p wire
Input

Definition at line 69 of file sata_phy.v.

extclk_n wire
Input

Definition at line 70 of file sata_phy.v.

txp_out wire
Output

Definition at line 72 of file sata_phy.v.

txn_out wire
Output

Definition at line 73 of file sata_phy.v.

rxp_in wire
Input

Definition at line 74 of file sata_phy.v.

rxn_in wire
Input

Definition at line 75 of file sata_phy.v.

ll_data_out wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]
Output

Definition at line 78 of file sata_phy.v.

ll_charisk_out wire [DATA_BYTE_WIDTH - 1 : 0 ]
Output

Definition at line 79 of file sata_phy.v.

ll_err_out wire [DATA_BYTE_WIDTH - 1 : 0 ]
Output

Definition at line 80 of file sata_phy.v.

ll_data_in wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]
Input

Definition at line 83 of file sata_phy.v.

ll_charisk_in wire [DATA_BYTE_WIDTH - 1 : 0 ]
Input

Definition at line 84 of file sata_phy.v.

set_offline
Input

Definition at line 86 of file sata_phy.v.

Definition at line 87 of file sata_phy.v.

cominit_got wire
Output

Definition at line 88 of file sata_phy.v.

comwake_got wire
Output

Definition at line 89 of file sata_phy.v.

rxelsfull wire
Output

Definition at line 92 of file sata_phy.v.

rxelsempty wire
Output

Definition at line 93 of file sata_phy.v.

Definition at line 95 of file sata_phy.v.

Definition at line 96 of file sata_phy.v.

re_aligned
Output

Definition at line 97 of file sata_phy.v.

xclk
Output

Definition at line 98 of file sata_phy.v.

datascope_clk
Output

Definition at line 102 of file sata_phy.v.

datascope_waddr [ADDRESS_BITS - 1 : 0 ]
Output

Definition at line 103 of file sata_phy.v.

datascope_we
Output

Definition at line 104 of file sata_phy.v.

datascope_di [ 31 : 0 ]
Output

Definition at line 105 of file sata_phy.v.

Definition at line 106 of file sata_phy.v.

drp_rst
Input

Definition at line 111 of file sata_phy.v.

drp_clk
Input

Definition at line 112 of file sata_phy.v.

drp_en
Input

Definition at line 113 of file sata_phy.v.

drp_we
Input

Definition at line 114 of file sata_phy.v.

drp_addr [ 14 : 0 ]
Input

Definition at line 115 of file sata_phy.v.

drp_di [ 15 : 0 ]
Input

Definition at line 116 of file sata_phy.v.

drp_rdy
Output

Definition at line 117 of file sata_phy.v.

drp_do [ 15 : 0 ]
Output

Definition at line 118 of file sata_phy.v.

debug_sata [ 31 : 0 ]
Output

Definition at line 120 of file sata_phy.v.

Definition at line 121 of file sata_phy.v.

txdata
Signal

Definition at line 125 of file sata_phy.v.

rxdata
Signal

Definition at line 126 of file sata_phy.v.

rxdata_out
Signal

Definition at line 127 of file sata_phy.v.

txdata_in
Signal

Definition at line 128 of file sata_phy.v.

txcharisk
Signal

Definition at line 129 of file sata_phy.v.

rxcharisk
Signal

Definition at line 130 of file sata_phy.v.

txcharisk_in
Signal

Definition at line 131 of file sata_phy.v.

rxcharisk_out
Signal

Definition at line 132 of file sata_phy.v.

rxdisperr
Signal

Definition at line 133 of file sata_phy.v.

rxnotintable
Signal

Definition at line 134 of file sata_phy.v.

txbufstatus
Signal

Definition at line 135 of file sata_phy.v.

Definition at line 141 of file sata_phy.v.

Definition at line 143 of file sata_phy.v.

rxcomwakedet
Signal

Definition at line 145 of file sata_phy.v.

rxcominitdet
Signal

Definition at line 146 of file sata_phy.v.

cplllock
Signal

Definition at line 147 of file sata_phy.v.

txcominit
Signal

Definition at line 148 of file sata_phy.v.

txcomwake
Signal

Definition at line 149 of file sata_phy.v.

rxreset
Signal

Definition at line 150 of file sata_phy.v.

rxelecidle
Signal

Definition at line 151 of file sata_phy.v.

txelecidle
Signal

Definition at line 152 of file sata_phy.v.

Definition at line 153 of file sata_phy.v.

Definition at line 154 of file sata_phy.v.

recal_tx_done
Signal

Definition at line 155 of file sata_phy.v.

rxreset_req
Signal

Definition at line 156 of file sata_phy.v.

rxreset_ack
Signal

Definition at line 157 of file sata_phy.v.

Definition at line 158 of file sata_phy.v.

Definition at line 159 of file sata_phy.v.

rxreset_oob
Signal

Definition at line 162 of file sata_phy.v.

Definition at line 167 of file sata_phy.v.

Definition at line 168 of file sata_phy.v.

dbg_rxcdrlock
Signal

Definition at line 169 of file sata_phy.v.

Definition at line 170 of file sata_phy.v.

dummy
Signal

Definition at line 175 of file sata_phy.v.

Definition at line 215 of file sata_phy.v.

cpllreset
Signal

Definition at line 216 of file sata_phy.v.

gtrefclk
Signal

Definition at line 217 of file sata_phy.v.

rxresetdone
Signal

Definition at line 218 of file sata_phy.v.

txresetdone
Signal

Definition at line 219 of file sata_phy.v.

txpcsreset
Signal

Definition at line 220 of file sata_phy.v.

txreset
Signal

Definition at line 221 of file sata_phy.v.

txuserrdy
Signal

Definition at line 222 of file sata_phy.v.

rxuserrdy
Signal

Definition at line 223 of file sata_phy.v.

txusrclk
Signal

Definition at line 224 of file sata_phy.v.

txusrclk2
Signal

Definition at line 225 of file sata_phy.v.

rxusrclk2
Signal

Definition at line 227 of file sata_phy.v.

txp
Signal

Definition at line 228 of file sata_phy.v.

txn
Signal

Definition at line 229 of file sata_phy.v.

rxp
Signal

Definition at line 230 of file sata_phy.v.

rxn
Signal

Definition at line 231 of file sata_phy.v.

txoutclk
Signal

Definition at line 232 of file sata_phy.v.

Definition at line 233 of file sata_phy.v.

Definition at line 234 of file sata_phy.v.

TXPMARESET_TIME 5 'h1
Parameter

Definition at line 237 of file sata_phy.v.

Definition at line 238 of file sata_phy.v.

RXPMARESET_TIME 5 'h11
Parameter

Definition at line 244 of file sata_phy.v.

RXCDRPHRESET_TIME 5 'h1
Parameter

Definition at line 245 of file sata_phy.v.

RXCDRFREQRESET_TIME 5 'h1
Parameter

Definition at line 246 of file sata_phy.v.

RXDFELPMRESET_TIME 7 'hf
Parameter

Definition at line 247 of file sata_phy.v.

RXISCANRESET_TIME 5 'h1
Parameter

Definition at line 248 of file sata_phy.v.

Definition at line 250 of file sata_phy.v.

usrpll_locked
Signal

Definition at line 259 of file sata_phy.v.

RST_TIMER_LIMIT 8 'b1000
Parameter

Definition at line 262 of file sata_phy.v.

rxreset_f
Signal

Definition at line 263 of file sata_phy.v.

txreset_f
Signal

Definition at line 264 of file sata_phy.v.

rxreset_f_r
Signal

Definition at line 265 of file sata_phy.v.

txreset_f_r
Signal

Definition at line 266 of file sata_phy.v.

rxreset_f_rr
Signal

Definition at line 267 of file sata_phy.v.

txreset_f_rr
Signal

Definition at line 268 of file sata_phy.v.

sata_areset
Signal

Definition at line 270 of file sata_phy.v.

Definition at line 271 of file sata_phy.v.

rst_timer
Signal

Definition at line 272 of file sata_phy.v.

cplllock_r
Signal

Definition at line 287 of file sata_phy.v.

TXPCSRESET_CYCLES 100
Parameter

Definition at line 325 of file sata_phy.v.

txpcsreset_r
Signal

Definition at line 326 of file sata_phy.v.

Definition at line 327 of file sata_phy.v.

Definition at line 328 of file sata_phy.v.

Definition at line 345 of file sata_phy.v.

Definition at line 346 of file sata_phy.v.

usrclk_global
Signal

Definition at line 362 of file sata_phy.v.

usrclk2
Signal

Definition at line 363 of file sata_phy.v.

usrclk2_r
Signal

Definition at line 365 of file sata_phy.v.

CLKSWING_CFG 2 'b11
Parameter

Definition at line 392 of file sata_phy.v.

debug_cntr1
Signal

Definition at line 511 of file sata_phy.v.

debug_cntr2
Signal

Definition at line 512 of file sata_phy.v.

debug_cntr3
Signal

Definition at line 513 of file sata_phy.v.

debug_cntr4
Signal

Definition at line 514 of file sata_phy.v.

debug_cntr5
Signal

Definition at line 515 of file sata_phy.v.

debug_cntr6
Signal

Definition at line 516 of file sata_phy.v.

Definition at line 517 of file sata_phy.v.

debug_error_r
Signal

Definition at line 518 of file sata_phy.v.

Definition at line 550 of file sata_phy.v.

Definition at line 551 of file sata_phy.v.

error_count
Signal

Definition at line 553 of file sata_phy.v.

gtx_wrap gtx_wrap
Module Instance

Definition at line 407 of file sata_phy.v.

IBUFDS_GTE2 ext_clock_buf
Module Instance

Definition at line 394 of file sata_phy.v.

oob_ctrl oob_ctrl
Module Instance

Definition at line 179 of file sata_phy.v.

select_clk_buf bufg_sclk
Module Instance

Definition at line 380 of file sata_phy.v.


The documentation for this Module was generated from the following files: