x393  1.0
FPGAcodeforElphelNC393camera
phy_cmd Module Reference
Inheritance diagram for phy_cmd:
Collaboration diagram for phy_cmd:

Static Public Member Functions

Always Constructs

ALWAYS_321  ( mclk )
ALWAYS_322  ( mclk )
ALWAYS_323  ( clk_div )
ALWAYS_324  ( clk_div )
ALWAYS_325  ( clk_div )
ALWAYS_326  ( mclk )
ALWAYS_327  ( mclk )

Public Attributes

Inputs

clk_in  
rst_in  
mrst  
ref_clk  
dly_data   [ 7 : 0 ]
dly_addr   [ 6 : 0 ]
ld_delay  
set  
phy_cmd_word   [ 31 : 0 ]
add_pause  
buf_rdata   [ 63 : 0 ]
cmda_en  
ddr_rst  
dci_rst  
dly_rst  
ddr_cke  
inv_clk_div  
dqs_pattern   [ 7 : 0 ]
dqm_pattern   [ 7 : 0 ]
dq_tri_on_pattern   [ 3 : 0 ]
dq_tri_off_pattern   [ 3 : 0 ]
dqs_tri_on_pattern   [ 3 : 0 ]
dqs_tri_off_pattern   [ 3 : 0 ]

Inouts

SDD   [ 15 : 0 ]
DQSL  
NDQSL  
DQSU  
NDQSU  

Outputs

SDRST  
SDCLK  
SDNCLK  
SDA   [ADDRESS_NUMBER - 1 : 0 ]
SDBA   [ 2 : 0 ]
SDWE  
SDRAS  
SDCAS  
SDCKE  
SDODT  
SDDML  
SDDMU  
mclk  
idelay_ctrl_reset  
locked_mmcm  
locked_pll  
dly_ready  
dci_ready  
phy_locked_mmcm  
phy_locked_pll  
phy_dly_ready  
phy_dci_ready  
tmp_debug   [ 7 : 0 ]
ps_rdy  
ps_out   [PHASE_WIDTH - 1 : 0 ]
phy_cmd_nop  
phy_cmd_add_pause  
pause_len   [CMD_PAUSE_BITS - 1 : 0 ]
sequence_done  
buf_wdata   [ 63 : 0 ]
buf_wr  
buf_rd  
buf_rst  

Parameters

ADDRESS_NUMBER   15
PHASE_WIDTH   8
SLEW_DQ  "SLOW"
SLEW_DQS  "SLOW"
SLEW_CMDA  "SLOW"
SLEW_CLK  "SLOW"
IBUF_LOW_PWR  "TRUE"
REFCLK_FREQUENCY  real 300 . 0
HIGH_PERFORMANCE_MODE  "FALSE"
CLKIN_PERIOD   10
CLKFBOUT_MULT   8
DIVCLK_DIVIDE   1
CLKFBOUT_USE_FINE_PS   1
CLKFBOUT_PHASE   0 . 000
SDCLK_PHASE   0 . 000
CLK_PHASE   0 . 000
CLK_DIV_PHASE   0 . 000
MCLK_PHASE   90 . 000
REF_JITTER1   0 . 010
SS_EN  "FALSE"
SS_MODE  "CENTER_HIGH"
SS_MOD_PERIOD   10000
CMD_PAUSE_BITS   10
CMD_DONE_BIT   10

Signals

wire[ADDRESS_NUMBER - 1 : 0 ]  phy_addr_in
wire[ 2 : 0 ]  phy_bank_in
wire[ 2 : 0 ]  phy_rcw_pos
wire[ 2 : 0 ]  phy_rcw_in
wire  phy_odt_in
wire  phy_cke_dis
wire  phy_cke_in
wire  phy_sel_in
wire  phy_dq_en_in
wire  phy_dqs_en_in
wire  phy_dq_tri_in
wire  phy_dqs_tri_in
wire  phy_dci_en_in
wire  phy_dci_in
wire  phy_dqs_toggle_en
wire  phy_buf_wr
wire  phy_buf_rd
wire  phy_buf_rst
wire  cmda_tri
wire[ 2 : 0 ]  phy_rcw_cur
wire  phy_odt_cur
wire  phy_cke_dis_cur
wire  phy_sel_cur
wire  phy_dq_en_cur
wire  phy_dqs_en_cur
wire  phy_dqs_toggle_cur
wire  phy_dci_en_cur
wire  phy_buf_wr_cur
wire  phy_buf_rd_cur
wire  phy_buf_rst_cur
wire  clk_div
reg[ 7 : 0 ]  dly_data_r
reg[ 6 : 0 ]  dly_addr_r
reg  ld_delay_r
reg  set_r
wire[ 2 *ADDRESS_NUMBER - 1 : 0 ]  phy_addr
wire[ 5 : 0 ]  phy_bank
wire[ 5 : 0 ]  phy_rcw
wire[ 1 : 0 ]  phy_odt
wire[ 1 : 0 ]  phy_cke
wire[ 7 : 0 ]  phy_dq_tri
wire[ 7 : 0 ]  phy_dqs_tri
wire  phy_dci_dis_dq
wire  phy_dci_dis_dqs
reg  dqs_tri_prev
reg  dq_tri_prev
wire  phy_ps_rdy
wire[PHASE_WIDTH - 1 : 0 ]  phy_ps_out
reg  ps_rdy_r1
reg  ps_rdy_r2
reg  locked_mmcm_r1
reg  locked_mmcm_r2
reg  locked_pll_r1
reg  locked_pll_r2
reg  dly_ready_r1
reg  dly_ready_r2
reg  dci_ready_r1
reg  dci_ready_r2
reg[PHASE_WIDTH - 1 : 0 ]  ps_out_r1
reg[PHASE_WIDTH - 1 : 0 ]  ps_out_r2
wire[ 63 : 0 ]  phy_rdata
reg[ 63 : 0 ]  phy_rdata_r
reg[ADDRESS_NUMBER - 1 : 0 ]  phy_addr_prev
reg[ 2 : 0 ]  phy_bank_prev
wire[ADDRESS_NUMBER - 1 : 0 ]  phy_addr_calm
wire[ 2 : 0 ]  phy_bank_calm
reg[ 9 : 0 ]  extra_prev
reg  rst_clk_div
wire[ 7 : 0 ]  dqs_data

Module Instances

phy_top::phy_top_i   Module phy_top

Detailed Description

Definition at line 42 of file phy_cmd.v.

Member Function Documentation

ALWAYS_321 (   mclk  
)
Always Construct

Definition at line 297 of file phy_cmd.v.

ALWAYS_322 (   mclk  
)
Always Construct

Definition at line 302 of file phy_cmd.v.

ALWAYS_323 (   clk_div  
)
Always Construct

Definition at line 329 of file phy_cmd.v.

ALWAYS_324 (   clk_div  
)
Always Construct

Definition at line 331 of file phy_cmd.v.

ALWAYS_325 (   clk_div  
)
Always Construct

Definition at line 347 of file phy_cmd.v.

ALWAYS_326 (   mclk  
)
Always Construct

Definition at line 357 of file phy_cmd.v.

ALWAYS_327 (   mclk  
)
Always Construct

Definition at line 368 of file phy_cmd.v.

Member Data Documentation

ADDRESS_NUMBER 15
Parameter

Definition at line 43 of file phy_cmd.v.

PHASE_WIDTH 8
Parameter

Definition at line 44 of file phy_cmd.v.

SLEW_DQ "SLOW"
Parameter

Definition at line 45 of file phy_cmd.v.

SLEW_DQS "SLOW"
Parameter

Definition at line 46 of file phy_cmd.v.

SLEW_CMDA "SLOW"
Parameter

Definition at line 47 of file phy_cmd.v.

SLEW_CLK "SLOW"
Parameter

Definition at line 48 of file phy_cmd.v.

IBUF_LOW_PWR "TRUE"
Parameter

Definition at line 49 of file phy_cmd.v.

REFCLK_FREQUENCY 300 . 0
Parameter

Definition at line 50 of file phy_cmd.v.

HIGH_PERFORMANCE_MODE "FALSE"
Parameter

Definition at line 51 of file phy_cmd.v.

CLKIN_PERIOD 10
Parameter

Definition at line 52 of file phy_cmd.v.

CLKFBOUT_MULT 8
Parameter

Definition at line 53 of file phy_cmd.v.

DIVCLK_DIVIDE 1
Parameter

Definition at line 54 of file phy_cmd.v.

CLKFBOUT_USE_FINE_PS 1
Parameter

Definition at line 55 of file phy_cmd.v.

CLKFBOUT_PHASE 0 . 000
Parameter

Definition at line 56 of file phy_cmd.v.

SDCLK_PHASE 0 . 000
Parameter

Definition at line 57 of file phy_cmd.v.

CLK_PHASE 0 . 000
Parameter

Definition at line 58 of file phy_cmd.v.

CLK_DIV_PHASE 0 . 000
Parameter

Definition at line 59 of file phy_cmd.v.

MCLK_PHASE 90 . 000
Parameter

Definition at line 60 of file phy_cmd.v.

REF_JITTER1 0 . 010
Parameter

Definition at line 61 of file phy_cmd.v.

SS_EN "FALSE"
Parameter

Definition at line 62 of file phy_cmd.v.

SS_MODE "CENTER_HIGH"
Parameter

Definition at line 63 of file phy_cmd.v.

SS_MOD_PERIOD 10000
Parameter

Definition at line 64 of file phy_cmd.v.

CMD_PAUSE_BITS 10
Parameter

Definition at line 65 of file phy_cmd.v.

CMD_DONE_BIT 10
Parameter

Definition at line 66 of file phy_cmd.v.

SDRST
Output

Definition at line 69 of file phy_cmd.v.

SDCLK
Output

Definition at line 70 of file phy_cmd.v.

SDNCLK
Output

Definition at line 71 of file phy_cmd.v.

SDA [ADDRESS_NUMBER - 1 : 0 ]
Output

Definition at line 72 of file phy_cmd.v.

SDBA [ 2 : 0 ]
Output

Definition at line 73 of file phy_cmd.v.

SDWE
Output

Definition at line 74 of file phy_cmd.v.

SDRAS
Output

Definition at line 75 of file phy_cmd.v.

SDCAS
Output

Definition at line 76 of file phy_cmd.v.

SDCKE
Output

Definition at line 77 of file phy_cmd.v.

SDODT
Output

Definition at line 78 of file phy_cmd.v.

SDD [ 15 : 0 ]
Inout

Definition at line 80 of file phy_cmd.v.

SDDML
Output

Definition at line 81 of file phy_cmd.v.

DQSL
Inout

Definition at line 82 of file phy_cmd.v.

NDQSL
Inout

Definition at line 83 of file phy_cmd.v.

SDDMU
Output

Definition at line 84 of file phy_cmd.v.

DQSU
Inout

Definition at line 85 of file phy_cmd.v.

NDQSU
Inout

Definition at line 86 of file phy_cmd.v.

clk_in
Input

Definition at line 88 of file phy_cmd.v.

rst_in
Input

Definition at line 89 of file phy_cmd.v.

mclk
Output

Definition at line 90 of file phy_cmd.v.

mrst
Input

Definition at line 91 of file phy_cmd.v.

ref_clk
Input

Definition at line 92 of file phy_cmd.v.

Definition at line 93 of file phy_cmd.v.

dly_data [ 7 : 0 ]
Input

Definition at line 95 of file phy_cmd.v.

dly_addr [ 6 : 0 ]
Input

Definition at line 96 of file phy_cmd.v.

ld_delay
Input

Definition at line 97 of file phy_cmd.v.

set
Input

Definition at line 98 of file phy_cmd.v.

locked_mmcm
Output

Definition at line 99 of file phy_cmd.v.

locked_pll
Output

Definition at line 100 of file phy_cmd.v.

dly_ready
Output

Definition at line 101 of file phy_cmd.v.

dci_ready
Output

Definition at line 102 of file phy_cmd.v.

Definition at line 104 of file phy_cmd.v.

Definition at line 105 of file phy_cmd.v.

phy_dly_ready
Output

Definition at line 106 of file phy_cmd.v.

phy_dci_ready
Output

Definition at line 107 of file phy_cmd.v.

tmp_debug [ 7 : 0 ]
Output

Definition at line 109 of file phy_cmd.v.

ps_rdy
Output

Definition at line 111 of file phy_cmd.v.

ps_out [PHASE_WIDTH - 1 : 0 ]
Output

Definition at line 112 of file phy_cmd.v.

phy_cmd_word [ 31 : 0 ]
Input

Definition at line 114 of file phy_cmd.v.

phy_cmd_nop
Output

Definition at line 115 of file phy_cmd.v.

Definition at line 116 of file phy_cmd.v.

add_pause
Input

Definition at line 117 of file phy_cmd.v.

pause_len [CMD_PAUSE_BITS - 1 : 0 ]
Output

Definition at line 118 of file phy_cmd.v.

sequence_done
Output

Definition at line 119 of file phy_cmd.v.

buf_wdata [ 63 : 0 ]
Output

Definition at line 121 of file phy_cmd.v.

buf_rdata [ 63 : 0 ]
Input

Definition at line 122 of file phy_cmd.v.

buf_wr
Output

Definition at line 123 of file phy_cmd.v.

buf_rd
Output

Definition at line 124 of file phy_cmd.v.

buf_rst
Output

Definition at line 125 of file phy_cmd.v.

cmda_en
Input

Definition at line 127 of file phy_cmd.v.

ddr_rst
Input

Definition at line 128 of file phy_cmd.v.

dci_rst
Input

Definition at line 129 of file phy_cmd.v.

dly_rst
Input

Definition at line 130 of file phy_cmd.v.

ddr_cke
Input

Definition at line 131 of file phy_cmd.v.

inv_clk_div
Input

Definition at line 132 of file phy_cmd.v.

dqs_pattern [ 7 : 0 ]
Input

Definition at line 133 of file phy_cmd.v.

dqm_pattern [ 7 : 0 ]
Input

Definition at line 134 of file phy_cmd.v.

dq_tri_on_pattern [ 3 : 0 ]
Input

Definition at line 135 of file phy_cmd.v.

dq_tri_off_pattern [ 3 : 0 ]
Input

Definition at line 136 of file phy_cmd.v.

dqs_tri_on_pattern [ 3 : 0 ]
Input

Definition at line 137 of file phy_cmd.v.

dqs_tri_off_pattern [ 3 : 0 ]
Input

Definition at line 138 of file phy_cmd.v.

phy_addr_in
Signal

Definition at line 142 of file phy_cmd.v.

phy_bank_in
Signal

Definition at line 143 of file phy_cmd.v.

phy_rcw_pos
Signal

Definition at line 144 of file phy_cmd.v.

phy_rcw_in
Signal

Definition at line 145 of file phy_cmd.v.

phy_odt_in
Signal

Definition at line 147 of file phy_cmd.v.

phy_cke_dis
Signal

Definition at line 148 of file phy_cmd.v.

phy_cke_in
Signal

Definition at line 149 of file phy_cmd.v.

phy_sel_in
Signal

Definition at line 150 of file phy_cmd.v.

phy_dq_en_in
Signal

Definition at line 151 of file phy_cmd.v.

phy_dqs_en_in
Signal

Definition at line 152 of file phy_cmd.v.

phy_dq_tri_in
Signal

Definition at line 153 of file phy_cmd.v.

Definition at line 154 of file phy_cmd.v.

phy_dci_en_in
Signal

Definition at line 155 of file phy_cmd.v.

phy_dci_in
Signal

Definition at line 156 of file phy_cmd.v.

Definition at line 157 of file phy_cmd.v.

phy_buf_wr
Signal

Definition at line 158 of file phy_cmd.v.

phy_buf_rd
Signal

Definition at line 159 of file phy_cmd.v.

phy_buf_rst
Signal

Definition at line 160 of file phy_cmd.v.

cmda_tri
Signal

Definition at line 161 of file phy_cmd.v.

phy_rcw_cur
Signal

Definition at line 163 of file phy_cmd.v.

phy_odt_cur
Signal

Definition at line 164 of file phy_cmd.v.

Definition at line 165 of file phy_cmd.v.

phy_sel_cur
Signal

Definition at line 166 of file phy_cmd.v.

phy_dq_en_cur
Signal

Definition at line 167 of file phy_cmd.v.

Definition at line 168 of file phy_cmd.v.

Definition at line 169 of file phy_cmd.v.

Definition at line 170 of file phy_cmd.v.

Definition at line 171 of file phy_cmd.v.

Definition at line 172 of file phy_cmd.v.

Definition at line 173 of file phy_cmd.v.

clk_div
Signal

Definition at line 175 of file phy_cmd.v.

dly_data_r
Signal

Definition at line 177 of file phy_cmd.v.

dly_addr_r
Signal

Definition at line 178 of file phy_cmd.v.

ld_delay_r
Signal

Definition at line 179 of file phy_cmd.v.

set_r
Signal

Definition at line 180 of file phy_cmd.v.

phy_addr
Signal

Definition at line 182 of file phy_cmd.v.

phy_bank
Signal

Definition at line 183 of file phy_cmd.v.

phy_rcw
Signal

Definition at line 184 of file phy_cmd.v.

phy_odt
Signal

Definition at line 185 of file phy_cmd.v.

phy_cke
Signal

Definition at line 186 of file phy_cmd.v.

phy_dq_tri
Signal

Definition at line 187 of file phy_cmd.v.

phy_dqs_tri
Signal

Definition at line 188 of file phy_cmd.v.

Definition at line 189 of file phy_cmd.v.

Definition at line 190 of file phy_cmd.v.

dqs_tri_prev
Signal

Definition at line 192 of file phy_cmd.v.

dq_tri_prev
Signal

Definition at line 192 of file phy_cmd.v.

phy_ps_rdy
Signal

Definition at line 193 of file phy_cmd.v.

phy_ps_out
Signal

Definition at line 194 of file phy_cmd.v.

ps_rdy_r1
Signal

Definition at line 195 of file phy_cmd.v.

ps_rdy_r2
Signal

Definition at line 195 of file phy_cmd.v.

Definition at line 196 of file phy_cmd.v.

Definition at line 196 of file phy_cmd.v.

locked_pll_r1
Signal

Definition at line 197 of file phy_cmd.v.

locked_pll_r2
Signal

Definition at line 197 of file phy_cmd.v.

dly_ready_r1
Signal

Definition at line 198 of file phy_cmd.v.

dly_ready_r2
Signal

Definition at line 198 of file phy_cmd.v.

dci_ready_r1
Signal

Definition at line 199 of file phy_cmd.v.

dci_ready_r2
Signal

Definition at line 199 of file phy_cmd.v.

ps_out_r1
Signal

Definition at line 202 of file phy_cmd.v.

ps_out_r2
Signal

Definition at line 202 of file phy_cmd.v.

phy_rdata
Signal

Definition at line 203 of file phy_cmd.v.

phy_rdata_r
Signal

Definition at line 204 of file phy_cmd.v.

phy_addr_prev
Signal

Definition at line 206 of file phy_cmd.v.

phy_bank_prev
Signal

Definition at line 207 of file phy_cmd.v.

phy_addr_calm
Signal

Definition at line 208 of file phy_cmd.v.

phy_bank_calm
Signal

Definition at line 209 of file phy_cmd.v.

extra_prev
Signal

Definition at line 210 of file phy_cmd.v.

rst_clk_div
Signal

Definition at line 328 of file phy_cmd.v.

dqs_data
Signal

Definition at line 374 of file phy_cmd.v.

phy_top phy_top_i
Module Instance

Definition at line 377 of file phy_cmd.v.


The documentation for this Module was generated from the following files: