x393  1.0
FPGAcodeforElphelNC393camera
membridge Module Reference
Inheritance diagram for membridge:
Collaboration diagram for membridge:

Static Public Member Functions

Always Constructs

ALWAYS_17  ( mclk )
ALWAYS_18  ( mclk )
ALWAYS_19  ( hclk )
ALWAYS_20  ( hclk )
ALWAYS_21  ( mclk )
ALWAYS_22  ( hclk )
ALWAYS_23  ( hclk )
ALWAYS_24  ( hclk )
ALWAYS_25  ( hclk )
ALWAYS_26  ( hclk )
ALWAYS_27  ( hclk )
ALWAYS_28  ( hclk )
ALWAYS_29  ( hclk )

Public Attributes

Inputs

mrst  
hrst  
mclk  
hclk  
cmd_ad   [ 7 : 0 ]
cmd_stb  
status_start  
cmd_wrmem  
page_ready_chn  
frame_done_chn  
line_unfinished_chn1   [FRAME_HEIGHT_BITS - 1 : 0 ]
xfer_reset_page_rd  
buf_wpage_nxt  
buf_wr  
buf_wdata   [ 63 : 0 ]
xfer_reset_page_wr  
buf_rpage_nxt  
buf_rd  
afi_awready  
afi_wready  
afi_bvalid  
afi_bid   [ 5 : 0 ]
afi_bresp   [ 1 : 0 ]
afi_wcount   [ 7 : 0 ]
afi_wacount   [ 5 : 0 ]
afi_arready  
afi_rdata   [ 63 : 0 ]
afi_rvalid  
afi_rid   [ 5 : 0 ]
afi_rlast  
afi_rresp   [ 1 : 0 ]
afi_rcount   [ 7 : 0 ]
afi_racount   [ 2 : 0 ]
debug_sl  
debug_di  

Outputs

status_ad   [ 7 : 0 ]
status_rq  
frame_start_chn  
next_page_chn  
suspend_chn1  
buf_rdata   [ 63 : 0 ]
afi_awaddr   [ 31 : 0 ]
afi_awvalid  
afi_awid   [ 5 : 0 ]
afi_awlock   [ 1 : 0 ]
afi_awcache   [ 3 : 0 ]
afi_awprot   [ 2 : 0 ]
afi_awlen   [ 3 : 0 ]
afi_awsize   [ 1 : 0 ]
afi_awburst   [ 1 : 0 ]
afi_awqos   [ 3 : 0 ]
afi_wdata   [ 63 : 0 ]
afi_wvalid  
afi_wid   [ 5 : 0 ]
afi_wlast  
afi_wstrb   [ 7 : 0 ]
afi_bready  
afi_wrissuecap1en  
afi_araddr   [ 31 : 0 ]
afi_arvalid  
afi_arid   [ 5 : 0 ]
afi_arlock   [ 1 : 0 ]
afi_arcache   [ 3 : 0 ]
afi_arprot   [ 2 : 0 ]
afi_arlen   [ 3 : 0 ]
afi_arsize   [ 1 : 0 ]
afi_arburst   [ 1 : 0 ]
afi_arqos   [ 3 : 0 ]
afi_rready  
afi_rdissuecap1en  
debug_do  

Parameters

MEMBRIDGE_ADDR  'h200
MEMBRIDGE_MASK  'h7f0
MEMBRIDGE_CTRL  'h0
MEMBRIDGE_STATUS_CNTRL  'h1
MEMBRIDGE_LO_ADDR64  'h2
MEMBRIDGE_SIZE64  'h3
MEMBRIDGE_START64  'h4
MEMBRIDGE_LEN64  'h5
MEMBRIDGE_WIDTH64  'h6
MEMBRIDGE_MODE  'h7
MEMBRIDGE_STATUS_REG  'h3b
FRAME_HEIGHT_BITS   16
522  
523  
BUFWR_WE_WIDTH   4
SAFE_RD_BITS   3
DELAY_ADVANCE_ADDR   3

Signals

wire[ 3 : 0 ]  cmd_a
wire[ 31 : 0 ]  cmd_data
wire  cmd_we
wire  set_ctrl_w
wire  set_status_w
wire  set_lo_addr64_w
wire  set_size64_w
wire  set_start64_w
wire  set_len64_w
wire  set_mode_w
wire  set_width64_w
reg[ 4 : 0 ]  mode_reg_mclk
reg[ 4 : 0 ]  mode_reg
wire  cache_debug
reg[ 28 : 0 ]  lo_addr64_mclk
reg[ 28 : 0 ]  size64_mclk
reg[ 28 : 0 ]  start64_mclk
reg[ 28 : 0 ]  len64_mclk
reg[FRAME_WIDTH_BITS: 0 ]  width64_mclk
reg[FRAME_WIDTH_BITS: 0 ]  width64_minus1_mclk
reg  rdwr_en_mclk
reg  rdwr_reset_addr_mclk
reg  start_mclk
reg[ 28 : 0 ]  lo_addr64
reg[ 28 : 0 ]  size64
reg[ 28 : 0 ]  start64
reg[ 28 : 0 ]  len64
reg[FRAME_WIDTH_BITS: 0 ]  last_in_line64
reg[ 24 : 0 ]  last_addr1k
reg  rdwr_en
reg  rdwr_reset_addr
wire  start_hclk
reg  rd_start
reg  wr_start
reg[ 2 : 0 ]  rdwr_start
reg  wr_mode
wire  page_ready
wire  frame_done
wire  reset_page_wr
wire  reset_page_rd
reg  page_ready_rd
reg  page_ready_wr
reg  next_page_rd
reg  next_page_wr
wire  next_page
reg[ 4 : 0 ]  rd_id
reg[ 4 : 0 ]  wr_id
reg  read_no_more
reg  mrstn
reg[ 28 : 0 ]  rel_addr64
wire  advance_rel_addr_w
wire  advance_rel_addr_wr
wire  advance_rel_addr_rd
reg  advance_rel_addr
reg[DELAY_ADVANCE_ADDR - 1 : 0 ]  advance_rel_addr_d
reg[ 28 : 0 ]  left64
reg  last_burst
reg  rollover
reg[ 3 : 0 ]  afi_len
reg[ 4 : 0 ]  afi_len_plus1
reg  low4_zero
reg[ 28 : 0 ]  buf_left64
reg[FRAME_WIDTH_BITS: 0 ]  buf_in_line64
reg[ 28 : 0 ]  axi_addr64
wire  left_zero
reg  read_started
reg  write_busy
wire  rw_in_progress
reg  busy
reg  done
reg  pre_done
reg[ 7 : 0 ]  axi_arw_requested
reg[ 7 : 0 ]  axi_bursts_requested
reg[ 7 : 0 ]  wresp_conf
wire[ 7 : 0 ]  axi_wr_pending
reg[ 7 : 0 ]  axi_wr_left
wire[ 7 : 0 ]  axi_rd_pending
reg[ 7 : 0 ]  axi_rd_received
reg  read_busy
reg  read_over
reg  afi_bvalid_r
reg[ 1 : 0 ]  read_page
reg[ 2 : 0 ]  read_pages_ready
reg  afi_wd_safe_not_full
reg  afi_wa_safe_not_full
wire  bufrd_rd_w
wire  bufwr_we_w
reg[ 2 : 0 ]  bufrd_rd
reg[BUFWR_WE_WIDTH - 1 : 0 ]  bufwr_we
reg  buf_rdwr
wire  is_last_in_line
wire  is_last_in_page
wire  next_page_rd_w
wire  next_page_wr_w
wire  done_page_rd_w
wire  safe_some_left_rd_w
reg  left_was_1
reg  left_many
reg[ 3 : 0 ]  src_wcntr
reg  wlast
reg  src_was_f
reg  afi_rd_safe_not_empty
reg  afi_ra_safe_not_full
reg  afi_safe_rd_pending
reg[ 1 : 0 ]  write_page
reg[ 2 : 0 ]  write_pages_ready
reg[ 1 : 0 ]  write_page_r
reg[ 6 : 0 ]  buf_in_line64_r
reg[ 30 : 0 ]  dbg_read_counter
reg[ 63 : 0 ]  rdata_r
wire[ 63 : 0 ]  afi_wdata0
reg[ 15 : 0 ]  dbg_write_counter

Module Instances

pulse_cross_clock::start_i   Module pulse_cross_clock
pulse_cross_clock::page_ready_i   Module pulse_cross_clock
pulse_cross_clock::frame_done_i   Module pulse_cross_clock
pulse_cross_clock::reset_page_wr_i   Module pulse_cross_clock
pulse_cross_clock::reset_page_rd_i   Module pulse_cross_clock
elastic_cross_clock::elastic_cross_clock_i   Module elastic_cross_clock
cmd_deser::cmd_deser_32bit_i   Module cmd_deser
status_generate::status_generate_i   Module status_generate
mcntrl_buf_rd::chn1rd_buf_i   Module mcntrl_buf_rd
mcntrl_buf_wr::chn1wr_buf_i   Module mcntrl_buf_wr
debug_slave::debug_slave_i   Module debug_slave

Detailed Description

Definition at line 41 of file membridge.v.

Member Function Documentation

ALWAYS_17 (   mclk  
)
Always Construct

Definition at line 214 of file membridge.v.

ALWAYS_18 (   mclk  
)
Always Construct

Definition at line 226 of file membridge.v.

ALWAYS_19 (   hclk  
)
Always Construct

Definition at line 287 of file membridge.v.

ALWAYS_20 (   hclk  
)
Always Construct

Definition at line 299 of file membridge.v.

ALWAYS_21 (   mclk  
)
Always Construct

Definition at line 333 of file membridge.v.

ALWAYS_22 (   hclk  
)
Always Construct

Definition at line 382 of file membridge.v.

ALWAYS_23 (   hclk  
)
Always Construct

Definition at line 397 of file membridge.v.

ALWAYS_24 (   hclk  
)
Always Construct

Definition at line 463 of file membridge.v.

ALWAYS_25 (   hclk  
)
Always Construct

Definition at line 563 of file membridge.v.

ALWAYS_26 (   hclk  
)
Always Construct

Definition at line 615 of file membridge.v.

ALWAYS_27 (   hclk  
)
Always Construct

Definition at line 664 of file membridge.v.

ALWAYS_28 (   hclk  
)
Always Construct

Definition at line 671 of file membridge.v.

ALWAYS_29 (   hclk  
)
Always Construct

Definition at line 721 of file membridge.v.

Member Data Documentation

MEMBRIDGE_ADDR 'h200
Parameter

Definition at line 42 of file membridge.v.

MEMBRIDGE_MASK 'h7f0
Parameter

Definition at line 43 of file membridge.v.

MEMBRIDGE_CTRL 'h0
Parameter

Definition at line 44 of file membridge.v.

MEMBRIDGE_STATUS_CNTRL 'h1
Parameter

Definition at line 45 of file membridge.v.

MEMBRIDGE_LO_ADDR64 'h2
Parameter

Definition at line 46 of file membridge.v.

MEMBRIDGE_SIZE64 'h3
Parameter

Definition at line 47 of file membridge.v.

MEMBRIDGE_START64 'h4
Parameter

Definition at line 48 of file membridge.v.

MEMBRIDGE_LEN64 'h5
Parameter

Definition at line 49 of file membridge.v.

MEMBRIDGE_WIDTH64 'h6
Parameter

Definition at line 50 of file membridge.v.

MEMBRIDGE_MODE 'h7
Parameter

Definition at line 51 of file membridge.v.

MEMBRIDGE_STATUS_REG 'h3b
Parameter

Definition at line 52 of file membridge.v.

FRAME_HEIGHT_BITS 16
Parameter

Definition at line 53 of file membridge.v.

522
Parameter

Definition at line 54 of file membridge.v.

523
Parameter

Definition at line 57 of file membridge.v.

mrst
Input

Definition at line 62 of file membridge.v.

hrst
Input

Definition at line 63 of file membridge.v.

mclk
Input

Definition at line 65 of file membridge.v.

hclk
Input

Definition at line 66 of file membridge.v.

cmd_ad [ 7 : 0 ]
Input

Definition at line 68 of file membridge.v.

cmd_stb
Input

Definition at line 69 of file membridge.v.

status_ad [ 7 : 0 ]
Output

Definition at line 70 of file membridge.v.

status_rq
Output

Definition at line 71 of file membridge.v.

status_start
Input

Definition at line 72 of file membridge.v.

Definition at line 74 of file membridge.v.

next_page_chn
Output

Definition at line 75 of file membridge.v.

cmd_wrmem
Input

Definition at line 76 of file membridge.v.

Definition at line 77 of file membridge.v.

Definition at line 78 of file membridge.v.

Definition at line 79 of file membridge.v.

suspend_chn1
Output

Definition at line 80 of file membridge.v.

Definition at line 82 of file membridge.v.

Definition at line 83 of file membridge.v.

buf_wr
Input

Definition at line 84 of file membridge.v.

buf_wdata [ 63 : 0 ]
Input

Definition at line 85 of file membridge.v.

Definition at line 87 of file membridge.v.

Definition at line 88 of file membridge.v.

buf_rd
Input

Definition at line 89 of file membridge.v.

buf_rdata [ 63 : 0 ]
Output

Definition at line 90 of file membridge.v.

afi_awaddr [ 31 : 0 ]
Output

Definition at line 93 of file membridge.v.

afi_awvalid
Output

Definition at line 94 of file membridge.v.

afi_awready
Input

Definition at line 95 of file membridge.v.

afi_awid [ 5 : 0 ]
Output

Definition at line 96 of file membridge.v.

afi_awlock [ 1 : 0 ]
Output

Definition at line 97 of file membridge.v.

afi_awcache [ 3 : 0 ]
Output

Definition at line 98 of file membridge.v.

afi_awprot [ 2 : 0 ]
Output

Definition at line 99 of file membridge.v.

afi_awlen [ 3 : 0 ]
Output

Definition at line 100 of file membridge.v.

afi_awsize [ 1 : 0 ]
Output

Definition at line 101 of file membridge.v.

afi_awburst [ 1 : 0 ]
Output

Definition at line 102 of file membridge.v.

afi_awqos [ 3 : 0 ]
Output

Definition at line 103 of file membridge.v.

afi_wdata [ 63 : 0 ]
Output

Definition at line 105 of file membridge.v.

afi_wvalid
Output

Definition at line 106 of file membridge.v.

afi_wready
Input

Definition at line 107 of file membridge.v.

afi_wid [ 5 : 0 ]
Output

Definition at line 108 of file membridge.v.

afi_wlast
Output

Definition at line 109 of file membridge.v.

afi_wstrb [ 7 : 0 ]
Output

Definition at line 110 of file membridge.v.

afi_bvalid
Input

Definition at line 112 of file membridge.v.

afi_bready
Output

Definition at line 113 of file membridge.v.

afi_bid [ 5 : 0 ]
Input

Definition at line 114 of file membridge.v.

afi_bresp [ 1 : 0 ]
Input

Definition at line 115 of file membridge.v.

afi_wcount [ 7 : 0 ]
Input

Definition at line 117 of file membridge.v.

afi_wacount [ 5 : 0 ]
Input

Definition at line 118 of file membridge.v.

Definition at line 119 of file membridge.v.

afi_araddr [ 31 : 0 ]
Output

Definition at line 122 of file membridge.v.

afi_arvalid
Output

Definition at line 123 of file membridge.v.

afi_arready
Input

Definition at line 124 of file membridge.v.

afi_arid [ 5 : 0 ]
Output

Definition at line 125 of file membridge.v.

afi_arlock [ 1 : 0 ]
Output

Definition at line 126 of file membridge.v.

afi_arcache [ 3 : 0 ]
Output

Definition at line 127 of file membridge.v.

afi_arprot [ 2 : 0 ]
Output

Definition at line 128 of file membridge.v.

afi_arlen [ 3 : 0 ]
Output

Definition at line 129 of file membridge.v.

afi_arsize [ 1 : 0 ]
Output

Definition at line 130 of file membridge.v.

afi_arburst [ 1 : 0 ]
Output

Definition at line 131 of file membridge.v.

afi_arqos [ 3 : 0 ]
Output

Definition at line 132 of file membridge.v.

afi_rdata [ 63 : 0 ]
Input

Definition at line 134 of file membridge.v.

afi_rvalid
Input

Definition at line 135 of file membridge.v.

afi_rready
Output

Definition at line 136 of file membridge.v.

afi_rid [ 5 : 0 ]
Input

Definition at line 137 of file membridge.v.

afi_rlast
Input

Definition at line 138 of file membridge.v.

afi_rresp [ 1 : 0 ]
Input

Definition at line 139 of file membridge.v.

afi_rcount [ 7 : 0 ]
Input

Definition at line 141 of file membridge.v.

afi_racount [ 2 : 0 ]
Input

Definition at line 142 of file membridge.v.

Definition at line 143 of file membridge.v.

debug_do
Output

Definition at line 145 of file membridge.v.

debug_sl
Input

Definition at line 146 of file membridge.v.

debug_di
Input

Definition at line 147 of file membridge.v.

BUFWR_WE_WIDTH 4
Parameter

Definition at line 151 of file membridge.v.

SAFE_RD_BITS 3
Parameter

Definition at line 152 of file membridge.v.

cmd_a
Signal

Definition at line 175 of file membridge.v.

cmd_data
Signal

Definition at line 176 of file membridge.v.

cmd_we
Signal

Definition at line 177 of file membridge.v.

set_ctrl_w
Signal

Definition at line 179 of file membridge.v.

set_status_w
Signal

Definition at line 180 of file membridge.v.

Definition at line 181 of file membridge.v.

set_size64_w
Signal

Definition at line 182 of file membridge.v.

set_start64_w
Signal

Definition at line 183 of file membridge.v.

set_len64_w
Signal

Definition at line 184 of file membridge.v.

set_mode_w
Signal

Definition at line 185 of file membridge.v.

set_width64_w
Signal

Definition at line 186 of file membridge.v.

mode_reg_mclk
Signal

Definition at line 187 of file membridge.v.

mode_reg
Signal

Definition at line 188 of file membridge.v.

cache_debug
Signal

Definition at line 189 of file membridge.v.

Definition at line 201 of file membridge.v.

size64_mclk
Signal

Definition at line 202 of file membridge.v.

start64_mclk
Signal

Definition at line 203 of file membridge.v.

len64_mclk
Signal

Definition at line 204 of file membridge.v.

width64_mclk
Signal

Definition at line 206 of file membridge.v.

Definition at line 207 of file membridge.v.

rdwr_en_mclk
Signal

Definition at line 208 of file membridge.v.

Definition at line 209 of file membridge.v.

start_mclk
Signal

Definition at line 210 of file membridge.v.

lo_addr64
Signal

Definition at line 246 of file membridge.v.

size64
Signal

Definition at line 247 of file membridge.v.

start64
Signal

Definition at line 248 of file membridge.v.

len64
Signal

Definition at line 249 of file membridge.v.

Definition at line 250 of file membridge.v.

last_addr1k
Signal

Definition at line 251 of file membridge.v.

rdwr_en
Signal

Definition at line 252 of file membridge.v.

Definition at line 253 of file membridge.v.

start_hclk
Signal

Definition at line 254 of file membridge.v.

rd_start
Signal

Definition at line 255 of file membridge.v.

wr_start
Signal

Definition at line 256 of file membridge.v.

rdwr_start
Signal

Definition at line 257 of file membridge.v.

wr_mode
Signal

Definition at line 258 of file membridge.v.

page_ready
Signal

Definition at line 259 of file membridge.v.

frame_done
Signal

Definition at line 260 of file membridge.v.

reset_page_wr
Signal

Definition at line 262 of file membridge.v.

reset_page_rd
Signal

Definition at line 263 of file membridge.v.

page_ready_rd
Signal

Definition at line 264 of file membridge.v.

page_ready_wr
Signal

Definition at line 265 of file membridge.v.

next_page_rd
Signal

Definition at line 266 of file membridge.v.

next_page_wr
Signal

Definition at line 267 of file membridge.v.

next_page
Signal

Definition at line 269 of file membridge.v.

rd_id
Signal

Definition at line 275 of file membridge.v.

wr_id
Signal

Definition at line 276 of file membridge.v.

read_no_more
Signal

Definition at line 278 of file membridge.v.

mrstn
Signal

Definition at line 332 of file membridge.v.

DELAY_ADVANCE_ADDR 3
Parameter

Definition at line 353 of file membridge.v.

rel_addr64
Signal

Definition at line 354 of file membridge.v.

Definition at line 355 of file membridge.v.

Definition at line 356 of file membridge.v.

Definition at line 357 of file membridge.v.

Definition at line 359 of file membridge.v.

Definition at line 361 of file membridge.v.

left64
Signal

Definition at line 362 of file membridge.v.

last_burst
Signal

Definition at line 363 of file membridge.v.

rollover
Signal

Definition at line 364 of file membridge.v.

afi_len
Signal

Definition at line 365 of file membridge.v.

afi_len_plus1
Signal

Definition at line 366 of file membridge.v.

low4_zero
Signal

Definition at line 367 of file membridge.v.

buf_left64
Signal

Definition at line 369 of file membridge.v.

buf_in_line64
Signal

Definition at line 370 of file membridge.v.

axi_addr64
Signal

Definition at line 375 of file membridge.v.

left_zero
Signal

Definition at line 376 of file membridge.v.

read_started
Signal

Definition at line 388 of file membridge.v.

write_busy
Signal

Definition at line 389 of file membridge.v.

Definition at line 390 of file membridge.v.

busy
Signal

Definition at line 391 of file membridge.v.

done
Signal

Definition at line 392 of file membridge.v.

pre_done
Signal

Definition at line 393 of file membridge.v.

Definition at line 432 of file membridge.v.

Definition at line 433 of file membridge.v.

wresp_conf
Signal

Definition at line 434 of file membridge.v.

Definition at line 435 of file membridge.v.

axi_wr_left
Signal

Definition at line 436 of file membridge.v.

Definition at line 437 of file membridge.v.

Definition at line 439 of file membridge.v.

read_busy
Signal

Definition at line 444 of file membridge.v.

read_over
Signal

Definition at line 445 of file membridge.v.

afi_bvalid_r
Signal

Definition at line 446 of file membridge.v.

read_page
Signal

Definition at line 447 of file membridge.v.

Definition at line 449 of file membridge.v.

Definition at line 453 of file membridge.v.

Definition at line 454 of file membridge.v.

bufrd_rd_w
Signal

Definition at line 524 of file membridge.v.

bufwr_we_w
Signal

Definition at line 525 of file membridge.v.

bufrd_rd
Signal

Definition at line 527 of file membridge.v.

bufwr_we
Signal

Definition at line 528 of file membridge.v.

buf_rdwr
Signal

Definition at line 529 of file membridge.v.

Definition at line 530 of file membridge.v.

Definition at line 531 of file membridge.v.

Definition at line 532 of file membridge.v.

Definition at line 533 of file membridge.v.

Definition at line 534 of file membridge.v.

Definition at line 535 of file membridge.v.

left_was_1
Signal

Definition at line 536 of file membridge.v.

left_many
Signal

Definition at line 537 of file membridge.v.

src_wcntr
Signal

Definition at line 554 of file membridge.v.

wlast
Signal

Definition at line 556 of file membridge.v.

src_was_f
Signal

Definition at line 558 of file membridge.v.

Definition at line 595 of file membridge.v.

Definition at line 596 of file membridge.v.

Definition at line 597 of file membridge.v.

write_page
Signal

Definition at line 608 of file membridge.v.

Definition at line 609 of file membridge.v.

write_page_r
Signal

Definition at line 610 of file membridge.v.

Definition at line 611 of file membridge.v.

Definition at line 663 of file membridge.v.

rdata_r
Signal

Definition at line 670 of file membridge.v.

afi_wdata0
Signal

Definition at line 718 of file membridge.v.

Definition at line 720 of file membridge.v.

cmd_deser cmd_deser_32bit_i
Module Instance

Definition at line 681 of file membridge.v.

debug_slave debug_slave_i
Module Instance

Definition at line 765 of file membridge.v.

elastic_cross_clock elastic_cross_clock_i
Module Instance

Definition at line 339 of file membridge.v.

mcntrl_buf_rd chn1rd_buf_i
Module Instance

Definition at line 729 of file membridge.v.

mcntrl_buf_wr chn1wr_buf_i
Module Instance

Definition at line 748 of file membridge.v.

pulse_cross_clock start_i
Module Instance

Definition at line 325 of file membridge.v.

pulse_cross_clock page_ready_i
Module Instance

Definition at line 326 of file membridge.v.

pulse_cross_clock frame_done_i
Module Instance

Definition at line 327 of file membridge.v.

pulse_cross_clock reset_page_wr_i
Module Instance

Definition at line 328 of file membridge.v.

pulse_cross_clock reset_page_rd_i
Module Instance

Definition at line 334 of file membridge.v.

status_generate status_generate_i
Module Instance

Definition at line 698 of file membridge.v.


The documentation for this Module was generated from the following files: