x393  1.0
FPGAcodeforElphelNC393camera
cmd_encod_linear_rw Module Reference
Inheritance diagram for cmd_encod_linear_rw:
Collaboration diagram for cmd_encod_linear_rw:

Static Public Member Functions

Always Constructs

ALWAYS_242  ( clk )
ALWAYS_243  ( clk )

Public Attributes

Inputs

mrst  
clk  
bank_in   [ 2 : 0 ]
row_in   [ADDRESS_NUMBER - 1 : 0 ]
start_col   [COLADDR_NUMBER - 4 : 0 ]
num128_in   [NUM_XFER_BITS - 1 : 0 ]
skip_next_page_in  
start_rd  
start_wr  

Outputs

start   reg
enc_cmd   reg [ 31 : 0 ]
enc_wr   reg
enc_done   reg

Parameters

ADDRESS_NUMBER   15
COLADDR_NUMBER   10
NUM_XFER_BITS   6
CMD_PAUSE_BITS   10
CMD_DONE_BIT   10
RSEL   1 'b1
WSEL   1 'b0

Signals

wire[ 31 : 0 ]  enc_cmd_rd
wire  enc_wr_rd
wire  enc_done_rd
wire[ 31 : 0 ]  enc_cmd_wr
wire  enc_wr_wr
wire  enc_done_wr
reg  select_wr

Module Instances

cmd_encod_linear_rd::cmd_encod_linear_rd_i   Module cmd_encod_linear_rd
cmd_encod_linear_wr::cmd_encod_linear_wr_i   Module cmd_encod_linear_wr

Detailed Description

Definition at line 41 of file cmd_encod_linear_rw.v.

Member Function Documentation

ALWAYS_242 (   clk  
)
Always Construct

Definition at line 118 of file cmd_encod_linear_rw.v.

ALWAYS_243 (   clk  
)
Always Construct

Definition at line 126 of file cmd_encod_linear_rw.v.

Member Data Documentation

ADDRESS_NUMBER 15
Parameter

Definition at line 43 of file cmd_encod_linear_rw.v.

COLADDR_NUMBER 10
Parameter

Definition at line 44 of file cmd_encod_linear_rw.v.

NUM_XFER_BITS 6
Parameter

Definition at line 45 of file cmd_encod_linear_rw.v.

CMD_PAUSE_BITS 10
Parameter

Definition at line 46 of file cmd_encod_linear_rw.v.

CMD_DONE_BIT 10
Parameter

Definition at line 47 of file cmd_encod_linear_rw.v.

RSEL 1 'b1
Parameter

Definition at line 48 of file cmd_encod_linear_rw.v.

WSEL 1 'b0
Parameter

Definition at line 49 of file cmd_encod_linear_rw.v.

mrst
Input

Definition at line 51 of file cmd_encod_linear_rw.v.

clk
Input

Definition at line 52 of file cmd_encod_linear_rw.v.

bank_in [ 2 : 0 ]
Input

Definition at line 56 of file cmd_encod_linear_rw.v.

row_in [ADDRESS_NUMBER - 1 : 0 ]
Input

Definition at line 57 of file cmd_encod_linear_rw.v.

start_col [COLADDR_NUMBER - 4 : 0 ]
Input

Definition at line 58 of file cmd_encod_linear_rw.v.

num128_in [NUM_XFER_BITS - 1 : 0 ]
Input

Definition at line 59 of file cmd_encod_linear_rw.v.

Definition at line 60 of file cmd_encod_linear_rw.v.

start_rd
Input

Definition at line 61 of file cmd_encod_linear_rw.v.

start_wr
Input

Definition at line 62 of file cmd_encod_linear_rw.v.

start reg
Output

Definition at line 63 of file cmd_encod_linear_rw.v.

enc_cmd reg [ 31 : 0 ]
Output

Definition at line 64 of file cmd_encod_linear_rw.v.

enc_wr reg
Output

Definition at line 65 of file cmd_encod_linear_rw.v.

enc_done reg
Output

Definition at line 66 of file cmd_encod_linear_rw.v.

enc_cmd_rd
Signal

Definition at line 68 of file cmd_encod_linear_rw.v.

enc_wr_rd
Signal

Definition at line 69 of file cmd_encod_linear_rw.v.

enc_done_rd
Signal

Definition at line 70 of file cmd_encod_linear_rw.v.

enc_cmd_wr
Signal

Definition at line 71 of file cmd_encod_linear_rw.v.

enc_wr_wr
Signal

Definition at line 72 of file cmd_encod_linear_rw.v.

enc_done_wr
Signal

Definition at line 73 of file cmd_encod_linear_rw.v.

select_wr
Signal

Definition at line 74 of file cmd_encod_linear_rw.v.

cmd_encod_linear_rd cmd_encod_linear_rd_i
Module Instance

Definition at line 76 of file cmd_encod_linear_rw.v.

cmd_encod_linear_wr cmd_encod_linear_wr_i
Module Instance

Definition at line 97 of file cmd_encod_linear_rw.v.


The documentation for this Module was generated from the following files: