x393  1.0
FPGAcodeforElphelNC393camera
cmd_encod_linear_rd Module Reference
Inheritance diagram for cmd_encod_linear_rd:

Static Public Member Functions

Always Constructs

ALWAYS_237  ( clk )
ALWAYS_238  ( clk )
ALWAYS_239  ( clk )
ALWAYS_240  ( clk )
ALWAYS_241  ( clk )

Public Attributes

Inputs

mrst  
clk  
bank_in   [ 2 : 0 ]
row_in   [ADDRESS_NUMBER - 1 : 0 ]
start_col   [COLADDR_NUMBER - 4 : 0 ]
num128_in   [NUM_XFER_BITS - 1 : 0 ]
skip_next_page_in  
start  

Outputs

enc_cmd   reg [ 31 : 0 ]
enc_wr   reg
enc_done   reg

Parameters

ADDRESS_NUMBER   15
COLADDR_NUMBER   10
NUM_XFER_BITS   6
CMD_PAUSE_BITS   10
CMD_DONE_BIT   10
RSEL   1 'b1
ROM_WIDTH   10
ROM_DEPTH   4
ENC_NOP   0
ENC_BUF_WR   1
ENC_DCI   2
ENC_SEL   3
ENC_CMD_SHIFT   4
ENC_PAUSE_SHIFT   6
ENC_PRE_DONE   8
ENC_BUF_PGNEXT   9
ENC_CMD_NOP   0
ENC_CMD_READ   1
ENC_CMD_PRECHARGE   2
ENC_CMD_ACTIVATE   3
REPEAT_ADDR   3
CMD_NOP   0
CMD_READ   2
CMD_PRECHARGE   5
CMD_ACTIVATE   4

Includes

x393_mcontr_encode_cmd.vh

Signals

reg[ADDRESS_NUMBER - 1 : 0 ]  row
reg[COLADDR_NUMBER - 4 : 0 ]  col
reg[ 2 : 0 ]  bank
reg[NUM_XFER_BITS - 1 : 0 ]  num128
reg  skip_next_page
reg  gen_run
reg[ROM_DEPTH - 1 : 0 ]  gen_addr
reg[ROM_WIDTH - 1 : 0 ]  rom_r
wire  pre_done
wire[ 1 : 0 ]  rom_cmd
wire[ 1 : 0 ]  rom_skip
wire[ 2 : 0 ]  full_cmd

Detailed Description

Definition at line 42 of file cmd_encod_linear_rd.v.

Member Function Documentation

ALWAYS_237 (   clk  
)
Always Construct

Definition at line 111 of file cmd_encod_linear_rd.v.

ALWAYS_238 (   clk  
)
Always Construct

Definition at line 130 of file cmd_encod_linear_rd.v.

ALWAYS_239 (   clk  
)
Always Construct

Definition at line 136 of file cmd_encod_linear_rd.v.

ALWAYS_240 (   clk  
)
Always Construct

Definition at line 142 of file cmd_encod_linear_rd.v.

ALWAYS_241 (   clk  
)
Always Construct

Definition at line 157 of file cmd_encod_linear_rd.v.

Member Data Documentation

ADDRESS_NUMBER 15
Parameter

Definition at line 44 of file cmd_encod_linear_rd.v.

COLADDR_NUMBER 10
Parameter

Definition at line 45 of file cmd_encod_linear_rd.v.

NUM_XFER_BITS 6
Parameter

Definition at line 46 of file cmd_encod_linear_rd.v.

CMD_PAUSE_BITS 10
Parameter

Definition at line 47 of file cmd_encod_linear_rd.v.

CMD_DONE_BIT 10
Parameter

Definition at line 48 of file cmd_encod_linear_rd.v.

RSEL 1 'b1
Parameter

Definition at line 49 of file cmd_encod_linear_rd.v.

mrst
Input

Definition at line 51 of file cmd_encod_linear_rd.v.

clk
Input

Definition at line 52 of file cmd_encod_linear_rd.v.

bank_in [ 2 : 0 ]
Input

Definition at line 56 of file cmd_encod_linear_rd.v.

row_in [ADDRESS_NUMBER - 1 : 0 ]
Input

Definition at line 57 of file cmd_encod_linear_rd.v.

start_col [COLADDR_NUMBER - 4 : 0 ]
Input

Definition at line 58 of file cmd_encod_linear_rd.v.

num128_in [NUM_XFER_BITS - 1 : 0 ]
Input

Definition at line 59 of file cmd_encod_linear_rd.v.

Definition at line 60 of file cmd_encod_linear_rd.v.

start
Input

Definition at line 61 of file cmd_encod_linear_rd.v.

enc_cmd reg [ 31 : 0 ]
Output

Definition at line 62 of file cmd_encod_linear_rd.v.

enc_wr reg
Output

Definition at line 63 of file cmd_encod_linear_rd.v.

enc_done reg
Output

Definition at line 64 of file cmd_encod_linear_rd.v.

ROM_WIDTH 10
Parameter

Definition at line 66 of file cmd_encod_linear_rd.v.

ROM_DEPTH 4
Parameter

Definition at line 67 of file cmd_encod_linear_rd.v.

ENC_NOP 0
Parameter

Definition at line 70 of file cmd_encod_linear_rd.v.

ENC_BUF_WR 1
Parameter

Definition at line 71 of file cmd_encod_linear_rd.v.

ENC_DCI 2
Parameter

Definition at line 72 of file cmd_encod_linear_rd.v.

ENC_SEL 3
Parameter

Definition at line 73 of file cmd_encod_linear_rd.v.

ENC_CMD_SHIFT 4
Parameter

Definition at line 74 of file cmd_encod_linear_rd.v.

ENC_PAUSE_SHIFT 6
Parameter

Definition at line 75 of file cmd_encod_linear_rd.v.

ENC_PRE_DONE 8
Parameter

Definition at line 76 of file cmd_encod_linear_rd.v.

ENC_BUF_PGNEXT 9
Parameter

Definition at line 77 of file cmd_encod_linear_rd.v.

ENC_CMD_NOP 0
Parameter

Definition at line 79 of file cmd_encod_linear_rd.v.

ENC_CMD_READ 1
Parameter

Definition at line 80 of file cmd_encod_linear_rd.v.

ENC_CMD_PRECHARGE 2
Parameter

Definition at line 81 of file cmd_encod_linear_rd.v.

ENC_CMD_ACTIVATE 3
Parameter

Definition at line 82 of file cmd_encod_linear_rd.v.

REPEAT_ADDR 3
Parameter

Definition at line 83 of file cmd_encod_linear_rd.v.

CMD_NOP 0
Parameter

Definition at line 85 of file cmd_encod_linear_rd.v.

CMD_READ 2
Parameter

Definition at line 86 of file cmd_encod_linear_rd.v.

CMD_PRECHARGE 5
Parameter

Definition at line 87 of file cmd_encod_linear_rd.v.

CMD_ACTIVATE 4
Parameter

Definition at line 88 of file cmd_encod_linear_rd.v.

row
Signal

Definition at line 90 of file cmd_encod_linear_rd.v.

col
Signal

Definition at line 91 of file cmd_encod_linear_rd.v.

bank
Signal

Definition at line 92 of file cmd_encod_linear_rd.v.

num128
Signal

Definition at line 93 of file cmd_encod_linear_rd.v.

Definition at line 94 of file cmd_encod_linear_rd.v.

gen_run
Signal

Definition at line 95 of file cmd_encod_linear_rd.v.

gen_addr
Signal

Definition at line 97 of file cmd_encod_linear_rd.v.

rom_r
Signal

Definition at line 99 of file cmd_encod_linear_rd.v.

pre_done
Signal

Definition at line 100 of file cmd_encod_linear_rd.v.

rom_cmd
Signal

Definition at line 101 of file cmd_encod_linear_rd.v.

rom_skip
Signal

Definition at line 102 of file cmd_encod_linear_rd.v.

full_cmd
Signal

Definition at line 103 of file cmd_encod_linear_rd.v.

x393_mcontr_encode_cmd.vh include
Include

Definition at line 202 of file cmd_encod_linear_rd.v.


The documentation for this Module was generated from the following files: