x393  1.0
FPGAcodeforElphelNC393camera
cmd_encod_linear_wr Module Reference
Inheritance diagram for cmd_encod_linear_wr:

Static Public Member Functions

Always Constructs

ALWAYS_244  ( clk )
ALWAYS_245  ( clk )
ALWAYS_246  ( clk )
ALWAYS_247  ( clk )
ALWAYS_248  ( clk )
ALWAYS_249  ( clk )

Public Attributes

Inputs

mrst  
clk  
bank_in   [ 2 : 0 ]
row_in   [ADDRESS_NUMBER - 1 : 0 ]
start_col   [COLADDR_NUMBER - 4 : 0 ]
num128_in   [NUM_XFER_BITS - 1 : 0 ]
skip_next_page_in  
start  

Outputs

enc_cmd   reg [ 31 : 0 ]
enc_wr   reg
enc_done   reg

Parameters

ADDRESS_NUMBER   15
COLADDR_NUMBER   10
NUM_XFER_BITS   6
CMD_PAUSE_BITS   10
CMD_DONE_BIT   10
WSEL   1 'b0
ROM_WIDTH   12
ROM_DEPTH   4
ENC_NOP   0
ENC_BUF_RD   1
ENC_DQS_TOGGLE   2
ENC_DQ_DQS_EN   3
ENC_SEL   4
ENC_ODT   5
ENC_CMD_SHIFT   6
ENC_PAUSE_SHIFT   8
ENC_PRE_DONE   10
ENC_BUF_PGNEXT   11
ENC_CMD_NOP   0
ENC_CMD_WRITE   1
ENC_CMD_PRECHARGE   2
ENC_CMD_ACTIVATE   3
REPEAT_ADDR   5
PRELAST_WRITE_ADDR  'h6
LAST_WRITE_ADDR  'h8
NO_WRITE_ADDR  'ha
WRITE_ADDR1   3
WRITE_ADDR2   5
CUT_SINGLE_ADDR   2
CUT_DUAL_ADDR   4
CMD_NOP   0
CMD_WRITE   3
CMD_PRECHARGE   5
CMD_ACTIVATE   4

Includes

x393_mcontr_encode_cmd.vh

Signals

reg[ADDRESS_NUMBER - 1 : 0 ]  row
reg[COLADDR_NUMBER - 4 : 0 ]  col
reg[ 2 : 0 ]  bank
reg[NUM_XFER_BITS : 0 ]  num128
reg  skip_next_page
reg  gen_run
reg[ROM_DEPTH - 1 : 0 ]  gen_addr
reg[ROM_WIDTH - 1 : 0 ]  rom_r
wire  pre_done
wire[ 1 : 0 ]  rom_cmd
wire[ 1 : 0 ]  rom_skip
wire[ 2 : 0 ]  full_cmd
reg  start_d
wire  next_zero_w
reg  cut_buf_rd
reg  single_write
reg  dual_write
reg  few_write
wire  write_addr_w
reg[ROM_DEPTH - 1 : 0 ]  jump_gen_addr

Detailed Description

Definition at line 42 of file cmd_encod_linear_wr.v.

Member Function Documentation

ALWAYS_244 (   clk  
)
Always Construct

Definition at line 134 of file cmd_encod_linear_wr.v.

ALWAYS_245 (   clk  
)
Always Construct

Definition at line 138 of file cmd_encod_linear_wr.v.

ALWAYS_246 (   clk  
)
Always Construct

Definition at line 169 of file cmd_encod_linear_wr.v.

ALWAYS_247 (   clk  
)
Always Construct

Definition at line 175 of file cmd_encod_linear_wr.v.

ALWAYS_248 (   clk  
)
Always Construct

Definition at line 182 of file cmd_encod_linear_wr.v.

ALWAYS_249 (   clk  
)
Always Construct

Definition at line 205 of file cmd_encod_linear_wr.v.

Member Data Documentation

ADDRESS_NUMBER 15
Parameter

Definition at line 43 of file cmd_encod_linear_wr.v.

COLADDR_NUMBER 10
Parameter

Definition at line 44 of file cmd_encod_linear_wr.v.

NUM_XFER_BITS 6
Parameter

Definition at line 45 of file cmd_encod_linear_wr.v.

CMD_PAUSE_BITS 10
Parameter

Definition at line 46 of file cmd_encod_linear_wr.v.

CMD_DONE_BIT 10
Parameter

Definition at line 47 of file cmd_encod_linear_wr.v.

WSEL 1 'b0
Parameter

Definition at line 48 of file cmd_encod_linear_wr.v.

mrst
Input

Definition at line 50 of file cmd_encod_linear_wr.v.

clk
Input

Definition at line 51 of file cmd_encod_linear_wr.v.

bank_in [ 2 : 0 ]
Input

Definition at line 53 of file cmd_encod_linear_wr.v.

row_in [ADDRESS_NUMBER - 1 : 0 ]
Input

Definition at line 54 of file cmd_encod_linear_wr.v.

start_col [COLADDR_NUMBER - 4 : 0 ]
Input

Definition at line 55 of file cmd_encod_linear_wr.v.

num128_in [NUM_XFER_BITS - 1 : 0 ]
Input

Definition at line 56 of file cmd_encod_linear_wr.v.

Definition at line 57 of file cmd_encod_linear_wr.v.

start
Input

Definition at line 58 of file cmd_encod_linear_wr.v.

enc_cmd reg [ 31 : 0 ]
Output

Definition at line 59 of file cmd_encod_linear_wr.v.

enc_wr reg
Output

Definition at line 60 of file cmd_encod_linear_wr.v.

enc_done reg
Output

Definition at line 61 of file cmd_encod_linear_wr.v.

ROM_WIDTH 12
Parameter

Definition at line 63 of file cmd_encod_linear_wr.v.

ROM_DEPTH 4
Parameter

Definition at line 64 of file cmd_encod_linear_wr.v.

ENC_NOP 0
Parameter

Definition at line 66 of file cmd_encod_linear_wr.v.

ENC_BUF_RD 1
Parameter

Definition at line 67 of file cmd_encod_linear_wr.v.

ENC_DQS_TOGGLE 2
Parameter

Definition at line 68 of file cmd_encod_linear_wr.v.

ENC_DQ_DQS_EN 3
Parameter

Definition at line 69 of file cmd_encod_linear_wr.v.

ENC_SEL 4
Parameter

Definition at line 70 of file cmd_encod_linear_wr.v.

ENC_ODT 5
Parameter

Definition at line 71 of file cmd_encod_linear_wr.v.

ENC_CMD_SHIFT 6
Parameter

Definition at line 72 of file cmd_encod_linear_wr.v.

ENC_PAUSE_SHIFT 8
Parameter

Definition at line 73 of file cmd_encod_linear_wr.v.

ENC_PRE_DONE 10
Parameter

Definition at line 74 of file cmd_encod_linear_wr.v.

ENC_BUF_PGNEXT 11
Parameter

Definition at line 75 of file cmd_encod_linear_wr.v.

ENC_CMD_NOP 0
Parameter

Definition at line 77 of file cmd_encod_linear_wr.v.

ENC_CMD_WRITE 1
Parameter

Definition at line 78 of file cmd_encod_linear_wr.v.

ENC_CMD_PRECHARGE 2
Parameter

Definition at line 79 of file cmd_encod_linear_wr.v.

ENC_CMD_ACTIVATE 3
Parameter

Definition at line 80 of file cmd_encod_linear_wr.v.

REPEAT_ADDR 5
Parameter

Definition at line 83 of file cmd_encod_linear_wr.v.

PRELAST_WRITE_ADDR 'h6
Parameter

Definition at line 84 of file cmd_encod_linear_wr.v.

LAST_WRITE_ADDR 'h8
Parameter

Definition at line 85 of file cmd_encod_linear_wr.v.

NO_WRITE_ADDR 'ha
Parameter

Definition at line 86 of file cmd_encod_linear_wr.v.

WRITE_ADDR1 3
Parameter

Definition at line 87 of file cmd_encod_linear_wr.v.

WRITE_ADDR2 5
Parameter

Definition at line 88 of file cmd_encod_linear_wr.v.

CUT_SINGLE_ADDR 2
Parameter

Definition at line 91 of file cmd_encod_linear_wr.v.

CUT_DUAL_ADDR 4
Parameter

Definition at line 92 of file cmd_encod_linear_wr.v.

CMD_NOP 0
Parameter

Definition at line 97 of file cmd_encod_linear_wr.v.

CMD_WRITE 3
Parameter

Definition at line 98 of file cmd_encod_linear_wr.v.

CMD_PRECHARGE 5
Parameter

Definition at line 99 of file cmd_encod_linear_wr.v.

CMD_ACTIVATE 4
Parameter

Definition at line 100 of file cmd_encod_linear_wr.v.

row
Signal

Definition at line 102 of file cmd_encod_linear_wr.v.

col
Signal

Definition at line 103 of file cmd_encod_linear_wr.v.

bank
Signal

Definition at line 104 of file cmd_encod_linear_wr.v.

num128
Signal

Definition at line 105 of file cmd_encod_linear_wr.v.

Definition at line 106 of file cmd_encod_linear_wr.v.

gen_run
Signal

Definition at line 108 of file cmd_encod_linear_wr.v.

gen_addr
Signal

Definition at line 110 of file cmd_encod_linear_wr.v.

rom_r
Signal

Definition at line 112 of file cmd_encod_linear_wr.v.

pre_done
Signal

Definition at line 113 of file cmd_encod_linear_wr.v.

rom_cmd
Signal

Definition at line 114 of file cmd_encod_linear_wr.v.

rom_skip
Signal

Definition at line 115 of file cmd_encod_linear_wr.v.

full_cmd
Signal

Definition at line 116 of file cmd_encod_linear_wr.v.

start_d
Signal

Definition at line 118 of file cmd_encod_linear_wr.v.

next_zero_w
Signal

Definition at line 119 of file cmd_encod_linear_wr.v.

cut_buf_rd
Signal

Definition at line 120 of file cmd_encod_linear_wr.v.

single_write
Signal

Definition at line 121 of file cmd_encod_linear_wr.v.

dual_write
Signal

Definition at line 122 of file cmd_encod_linear_wr.v.

few_write
Signal

Definition at line 123 of file cmd_encod_linear_wr.v.

write_addr_w
Signal

Definition at line 124 of file cmd_encod_linear_wr.v.

jump_gen_addr
Signal

Definition at line 125 of file cmd_encod_linear_wr.v.

x393_mcontr_encode_cmd.vh include
Include

Definition at line 252 of file cmd_encod_linear_wr.v.


The documentation for this Module was generated from the following files: