x393  1.0
FPGAcodeforElphelNC393camera
ddr3_wrap.v
Go to the documentation of this file.
1 
39 //`timescale 1ns/1ps
40 `timescale 1ps / 1ps
41 
42 module ddr3_wrap#(
43  parameter ADDRESS_NUMBER = 15,
44  parameter TRISTATE_DELAY_CLK = 4'h2,
45  parameter TRISTATE_DELAY = 0,
46  parameter CLK_DELAY = 1500,
47  parameter CMDA_DELAY = 1500,
48  parameter DQS_IN_DELAY = 1500,
49  parameter DQ_IN_DELAY = 1500,
50  parameter DQS_OUT_DELAY = 1500,
51  parameter DQ_OUT_DELAY = 1500
52  )(
53  input mclk,
54  input [1:0] dq_tri,
55  input [1:0] dqs_tri,
56 
57  input SDRST, // DDR3 reset (active low)
58  input SDCLK, // DDR3 clock differential output, positive
59  input SDNCLK,// DDR3 clock differential output, negative
60  input [ADDRESS_NUMBER-1:0] SDA, // output address ports (14:0) for 4Gb device
61  input [2:0] SDBA, // output bank address ports
62  input SDWE, // output WE port
63  input SDRAS, // output RAS port
64  input SDCAS, // output CAS port
65  input SDCKE, // output Clock Enable port
66  input SDODT, // output ODT port
67 
68  inout [15:0] SDD, // DQ I/O pads
69  input SDDML, // LDM I/O pad (actually only output)
70  inout DQSL, // LDQS I/O pad
71  inout NDQSL, // ~LDQS I/O pad
72  input SDDMU, // UDM I/O pad (actually only output)
73  inout DQSU, // UDQS I/O pad
74  inout NDQSU //,
75 
76 );
77  localparam CLK_DELAY_H = CLK_DELAY/4;
78  localparam CMDA_DELAY_H = CMDA_DELAY/4;
79  localparam DQS_IN_DELAY_H = DQS_IN_DELAY/4;
80  localparam DQ_IN_DELAY_H = DQ_IN_DELAY/4;
82  localparam DQ_OUT_DELAY_H = DQ_OUT_DELAY/4;
83 
84  wire #(CLK_DELAY_H) SDCLK_H1 = SDCLK;
85  wire #(CLK_DELAY_H) SDNCLK_H1 = SDNCLK;
86  wire #(CMDA_DELAY_H) SDRST_H1 = SDRST;
87  wire [ADDRESS_NUMBER-1:0] #(CMDA_DELAY_H) SDA_H1 = SDA;
88  wire [2:0] #(CMDA_DELAY_H) SDBA_H1 = SDBA;
89  wire #(CMDA_DELAY_H) SDWE_H1 = SDWE;
90  wire #(CMDA_DELAY_H) SDRAS_H1 = SDRAS;
91  wire #(CMDA_DELAY_H) SDCAS_H1 = SDCAS;
92  wire #(CMDA_DELAY_H) SDCKE_H1 = SDCKE;
93  wire #(CMDA_DELAY_H) SDODT_H1 = SDODT;
94 
95  wire #(CLK_DELAY_H) SDCLK_H2 = SDCLK_H1;
96  wire #(CLK_DELAY_H) SDNCLK_H2 = SDNCLK_H1;
97  wire #(CMDA_DELAY_H) SDRST_H2 = SDRST_H1;
98  wire [ADDRESS_NUMBER-1:0] #(CMDA_DELAY_H) SDA_H2 = SDA_H1;
99  wire [2:0] #(CMDA_DELAY_H) SDBA_H2 = SDBA_H1;
100  wire #(CMDA_DELAY_H) SDWE_H2 = SDWE_H1;
101  wire #(CMDA_DELAY_H) SDRAS_H2 = SDRAS_H1;
102  wire #(CMDA_DELAY_H) SDCAS_H2 = SDCAS_H1;
103  wire #(CMDA_DELAY_H) SDCKE_H2 = SDCKE_H1;
104  wire #(CMDA_DELAY_H) SDODT_H2 = SDODT_H1;
105 
106  wire #(CLK_DELAY_H) SDCLK_H3 = SDCLK_H2;
107  wire #(CLK_DELAY_H) SDNCLK_H3 = SDNCLK_H2;
108  wire #(CMDA_DELAY_H) SDRST_H3 = SDRST_H2;
109  wire [ADDRESS_NUMBER-1:0] #(CMDA_DELAY_H) SDA_H3 = SDA_H2;
110  wire [2:0] #(CMDA_DELAY_H) SDBA_H3 = SDBA_H2;
111  wire #(CMDA_DELAY_H) SDWE_H3 = SDWE_H2;
112  wire #(CMDA_DELAY_H) SDRAS_H3 = SDRAS_H2;
113  wire #(CMDA_DELAY_H) SDCAS_H3 = SDCAS_H2;
114  wire #(CMDA_DELAY_H) SDCKE_H3 = SDCKE_H2;
115  wire #(CMDA_DELAY_H) SDODT_H3= SDODT_H2;
116 
117  wire #(CLK_DELAY_H) SDCLK_D = SDCLK_H3;
118  wire #(CLK_DELAY_H) SDNCLK_D = SDNCLK_H3;
119  wire #(CMDA_DELAY_H) SDRST_D = SDRST_H3;
120  wire [ADDRESS_NUMBER-1:0] #(CMDA_DELAY_H) SDA_D = SDA_H3;
121  wire [2:0] #(CMDA_DELAY_H) SDBA_D = SDBA_H3;
122  wire #(CMDA_DELAY_H) SDWE_D = SDWE_H3;
123  wire #(CMDA_DELAY_H) SDRAS_D = SDRAS_H3;
124  wire #(CMDA_DELAY_H) SDCAS_D = SDCAS_H3;
125  wire #(CMDA_DELAY_H) SDCKE_D = SDCKE_H3;
126  wire #(CMDA_DELAY_H) SDODT_D = SDODT_H3;
127 
128  wire [1:0] en_dq_dl;
129  wire [1:0] en_dqs_dl;
130  wire [1:0] #(TRISTATE_DELAY) en_dq_d0 = en_dq_dl;
131  wire [1:0] #(TRISTATE_DELAY) en_dqs_d0 = en_dqs_dl;
132 
133  wire [1:0] #(DQ_OUT_DELAY_H) en_dq_d1=en_dq_d0;
134  wire [1:0] #(DQ_OUT_DELAY_H) en_dqs_d1=en_dqs_d0;
135  wire [1:0] #(DQ_OUT_DELAY_H) en_dq_d2=en_dq_d1;
136  wire [1:0] #(DQ_OUT_DELAY_H) en_dqs_d2=en_dqs_d1;
137  wire [1:0] #(DQ_IN_DELAY_H) en_dq_d3=en_dq_d2;
138  wire [1:0] #(DQ_IN_DELAY_H) en_dqs_d3=en_dqs_d2;
139  wire [1:0] #(DQ_OUT_DELAY_H) en_dq_d4=en_dq_d3;
140  wire [1:0] #(DQ_OUT_DELAY_H) en_dqs_d4=en_dqs_d3;
141  wire [1:0] #(DQ_OUT_DELAY_H) en_dq_d5=en_dq_d4;
142  wire [1:0] #(DQ_OUT_DELAY_H) en_dqs_d5=en_dqs_d4;
143  wire [1:0] #(DQ_IN_DELAY_H) en_dq_d6=en_dq_d5;
144  wire [1:0] #(DQ_IN_DELAY_H) en_dqs_d6=en_dqs_d5;
145  wire [1:0] #(DQ_IN_DELAY_H) en_dq_d7=en_dq_d6;
146  wire [1:0] #(DQ_IN_DELAY_H) en_dqs_d7=en_dqs_d6;
147 
148 // wire [1:0] en_dq_out=en_dq_d2;
149 // wire [1:0] en_dqs_out=en_dqs_d2;
150  wire [1:0] en_dq_out=en_dq_d3;
151  wire [1:0] en_dqs_out=en_dqs_d3;
152 
153 // wire [1:0] en_dq_in= ~en_dq_d0 & ~en_dq_d1 & ~en_dq_d2 & ~en_dq_d3 & ~en_dq_d4;
154 // wire [1:0] en_dqs_in=~en_dqs_d0 & ~en_dqs_d1 & ~en_dqs_d2 & ~en_dqs_d3 & ~en_dqs_d4;
155 
156  wire [1:0] en_dq_in= ~en_dq_d0 & ~en_dq_d1 & ~en_dq_d2 & ~en_dq_d3 & ~en_dq_d4 & ~en_dq_d5 & ~en_dq_d6 & ~en_dq_d7;
157  wire [1:0] en_dqs_in=~en_dqs_d0 & ~en_dqs_d1 & ~en_dqs_d2 & ~en_dqs_d3 & ~en_dqs_d4 & ~en_dqs_d5 & ~en_dqs_d6 & ~en_dqs_d7;
158 
159 
160  /* Instance template for module dly_16 **/
162  .WIDTH(4)
163  ) dly_16_i (
164  .clk (mclk),
165  .rst (~SDRST),
167  .din ({~dqs_tri,~dq_tri}),
168  .dout ({en_dqs_dl,en_dq_dl})
169  );
170  wire [15:0] SDD_H1;
171  wire SDDML_H1;
172  wire SDDMU_H1;
173  wire DQSL_H1;
174  wire NDQSL_H1;
175  wire DQSU_H1;
176  wire NDQSU_H1;
177 
178  wire [15:0] SDD_H2;
179  wire SDDML_H2;
180  wire SDDMU_H2;
181  wire DQSL_H2;
182  wire NDQSL_H2;
183  wire DQSU_H2;
184  wire NDQSU_H2;
185 
186  wire [15:0] SDD_H3;
187  wire SDDML_H3;
188  wire SDDMU_H3;
189  wire DQSL_H3;
190  wire NDQSL_H3;
191  wire DQSU_H3;
192  wire NDQSU_H3;
193 
194  wire [15:0] SDD_D;
195  wire SDDML_D;
196  wire SDDMU_D;
197  wire DQSL_D;
198  wire NDQSL_D;
199  wire DQSU_D;
200  wire NDQSU_D;
201 
202  wire [15:0] SDD_DH1;
203  wire DQSL_DH1;
204  wire NDQSL_DH1;
205  wire DQSU_DH1;
206  wire NDQSU_DH1;
207 
208  wire [15:0] SDD_DH2;
209  wire DQSL_DH2;
210  wire NDQSL_DH2;
211  wire DQSU_DH2;
212  wire NDQSU_DH2;
213 
214  wire [15:0] SDD_DH3;
215  wire DQSL_DH3;
216  wire NDQSL_DH3;
217  wire DQSU_DH3;
218  wire NDQSU_DH3;
219 
220  assign #(DQ_OUT_DELAY_H) SDD_H1[ 7:0] = SDD[7:0];
221  assign #(DQ_OUT_DELAY_H) SDD_H1[15:8] = SDD[15:8];
222 
223  assign #(DQ_OUT_DELAY_H) SDD_H2[ 7:0] = SDD_H1[7:0];
224  assign #(DQ_OUT_DELAY_H) SDD_H2[15:8] = SDD_H1[15:8];
225 
226  assign #(DQ_OUT_DELAY_H) SDD_H3[ 7:0] = SDD_H2[7:0];
227  assign #(DQ_OUT_DELAY_H) SDD_H3[15:8] = SDD_H2[15:8];
228 
229  assign #(DQ_OUT_DELAY_H) SDD_D[ 7:0] = en_dq_out[0]? SDD_H3[7:0]: 8'bz;
230  assign #(DQ_OUT_DELAY_H) SDD_D[15:8] = en_dq_out[1]? SDD_H3[15:8]:8'bz;
231 
232  assign #(DQ_OUT_DELAY_H) SDDML_H1 = SDDML;
233  assign #(DQ_OUT_DELAY_H) SDDMU_H1 = SDDMU;
234 
235  assign #(DQ_OUT_DELAY_H) SDDML_H2 = SDDML_H1;
236  assign #(DQ_OUT_DELAY_H) SDDMU_H2 = SDDMU_H1;
237 
238  assign #(DQ_OUT_DELAY_H) SDDML_H3 = SDDML_H2;
239  assign #(DQ_OUT_DELAY_H) SDDMU_H3 = SDDMU_H2;
240 
241  assign #(DQ_OUT_DELAY_H) SDDML_D = en_dq_out[0]? SDDML_H3: 1'bz;
242  assign #(DQ_OUT_DELAY_H) SDDMU_D = en_dq_out[1]? SDDMU_H3: 1'bz;
243 
244  assign #(DQ_IN_DELAY_H) SDD_DH1 [ 7:0] = SDD_D[7:0];
245  assign #(DQ_IN_DELAY_H) SDD_DH1 [15:8] = SDD_D[15:8];
246 
247  assign #(DQ_IN_DELAY_H) SDD_DH2 [ 7:0] = SDD_DH1[7:0];
248  assign #(DQ_IN_DELAY_H) SDD_DH2 [15:8] = SDD_DH1[15:8];
249 
250  assign #(DQ_IN_DELAY_H) SDD_DH3 [ 7:0] = SDD_DH2[7:0];
251  assign #(DQ_IN_DELAY_H) SDD_DH3 [15:8] = SDD_DH2[15:8];
252 
253  assign #(DQ_IN_DELAY_H) SDD [ 7:0] = en_dq_in[0]? SDD_DH3[7:0]:8'bz;
254  assign #(DQ_IN_DELAY_H) SDD [15:8] = en_dq_in[1]? SDD_DH3[15:8]:8'bz;
255 
256 
257  assign #(DQS_OUT_DELAY_H) DQSL_H1 = DQSL;
258  assign #(DQS_OUT_DELAY_H) NDQSL_H1 = NDQSL;
259  assign #(DQS_OUT_DELAY_H) DQSU_H1 = DQSU;
260  assign #(DQS_OUT_DELAY_H) NDQSU_H1 = NDQSU;
261 
262  assign #(DQS_OUT_DELAY_H) DQSL_H2 = DQSL_H1;
263  assign #(DQS_OUT_DELAY_H) NDQSL_H2 = NDQSL_H1;
264  assign #(DQS_OUT_DELAY_H) DQSU_H2 = DQSU_H1;
265  assign #(DQS_OUT_DELAY_H) NDQSU_H2 = NDQSU_H1;
266 
267  assign #(DQS_OUT_DELAY_H) DQSL_H3 = DQSL_H2;
268  assign #(DQS_OUT_DELAY_H) NDQSL_H3 = NDQSL_H2;
269  assign #(DQS_OUT_DELAY_H) DQSU_H3 = DQSU_H2;
270  assign #(DQS_OUT_DELAY_H) NDQSU_H3 = NDQSU_H2;
271 
272  assign #(DQS_OUT_DELAY_H) DQSL_D = en_dqs_out[0]? DQSL_H3: 1'bz;
273  assign #(DQS_OUT_DELAY_H) NDQSL_D = en_dqs_out[0]? NDQSL_H3: 1'bz;
274  assign #(DQS_OUT_DELAY_H) DQSU_D = en_dqs_out[1]? DQSU_H3: 1'bz;
275  assign #(DQS_OUT_DELAY_H) NDQSU_D = en_dqs_out[1]? NDQSU_H3: 1'bz;
276 
277  assign #(DQS_IN_DELAY_H) DQSL_DH1 = DQSL_D;
278  assign #(DQS_IN_DELAY_H) NDQSL_DH1 = NDQSL_D;
279  assign #(DQS_IN_DELAY_H) DQSU_DH1 = DQSU_D;
280  assign #(DQS_IN_DELAY_H) NDQSU_DH1 = NDQSU_D;
281 
282  assign #(DQS_IN_DELAY_H) DQSL_DH2 = DQSL_DH1;
283  assign #(DQS_IN_DELAY_H) NDQSL_DH2 = NDQSL_DH1;
284  assign #(DQS_IN_DELAY_H) DQSU_DH2 = DQSU_DH1;
285  assign #(DQS_IN_DELAY_H) NDQSU_DH2 = NDQSU_DH1;
286 
287  assign #(DQS_IN_DELAY_H) DQSL_DH3 = DQSL_DH2;
288  assign #(DQS_IN_DELAY_H) NDQSL_DH3 = NDQSL_DH2;
289  assign #(DQS_IN_DELAY_H) DQSU_DH3 = DQSU_DH2;
290  assign #(DQS_IN_DELAY_H) NDQSU_DH3 = NDQSU_DH2;
291 
292  assign #(DQS_IN_DELAY_H) DQSL = en_dqs_in[0]? DQSL_DH3: 1'bz;
293  assign #(DQS_IN_DELAY_H) NDQSL = en_dqs_in[0]? NDQSL_DH3: 1'bz;
294  assign #(DQS_IN_DELAY_H) DQSU = en_dqs_in[1]? DQSU_DH3: 1'bz;
295  assign #(DQS_IN_DELAY_H) NDQSU = en_dqs_in[1]? NDQSU_DH3: 1'bz;
296 
298  /*#(
299  .TCK_MIN (2500),
300  .TJIT_PER (100),
301  .TJIT_CC (200),
302  .TERR_2PER (147),
303  .TERR_3PER (175),
304  .TERR_4PER (194),
305  .TERR_5PER (209),
306  .TERR_6PER (222),
307  .TERR_7PER (232),
308  .TERR_8PER (241),
309  .TERR_9PER (249),
310  .TERR_10PER (257),
311  .TERR_11PER (263),
312  .TERR_12PER (269),
313  .TDS (125),
314  .TDH (150),
315  .TDQSQ (200),
316  .TDQSS (0.25),
317  .TDSS (0.20),
318  .TDSH (0.20),
319  .TDQSCK (400),
320  .TQSH (0.38),
321  .TQSL (0.38),
322  .TDIPW (600),
323  .TIPW (900),
324  .TIS (350),
325  .TIH (275),
326  .TRAS_MIN (37500),
327  .TRC (52500),
328  .TRCD (15000),
329  .TRP (15000),
330  .TXP (7500),
331  .TCKE (7500),
332  .TAON (400),
333  .TWLS (325),
334  .TWLH (325),
335  .TWLO (9000),
336  .TAA_MIN (15000),
337  .CL_TIME (15000),
338  .TDQSCK_DLLDIS (400),
339  .TRRD (10000),
340  .TFAW (40000),
341  .CL_MIN (5),
342  .CL_MAX (14),
343  .AL_MIN (0),
344  .AL_MAX (2),
345  .WR_MIN (5),
346  .WR_MAX (16),
347  .BL_MIN (4),
348  .BL_MAX (8),
349  .CWL_MIN (5),
350  .CWL_MAX (10),
351  .TCK_MAX (3300),
352  .TCH_AVG_MIN (0.47),
353  .TCL_AVG_MIN (0.47),
354  .TCH_AVG_MAX (0.53),
355  .TCL_AVG_MAX (0.53),
356  .TCH_ABS_MIN (0.43),
357  .TCL_ABS_MIN (0.43),
358  .TCKE_TCK (3),
359  .TAA_MAX (20000),
360  .TQH (0.38),
361  .TRPRE (0.90),
362  .TRPST (0.30),
363  .TDQSH (0.45),
364  .TDQSL (0.45),
365  .TWPRE (0.90),
366  .TWPST (0.30),
367  .TCCD (4),
368  .TCCD_DG (2),
369  .TRAS_MAX (60e9),
370  .TWR (15000),
371  .TMRD (4),
372  .TMOD (15000),
373  .TMOD_TCK (12),
374  .TRRD_TCK (4),
375  .TRRD_DG (3000),
376  .TRRD_DG_TCK (2),
377  .TRTP (7500),
378  .TRTP_TCK (4),
379  .TWTR (7500),
380  .TWTR_DG (3750),
381  .TWTR_TCK (4),
382  .TWTR_DG_TCK (2),
383  .TDLLK (512),
384  .TRFC_MIN (260000),
385  .TRFC_MAX (70200000),
386  .TXP_TCK (3),
387  .TXPDLL (24000),
388  .TXPDLL_TCK (10),
389  .TACTPDEN (1),
390  .TPRPDEN (1),
391  .TREFPDEN (1),
392  .TCPDED (1),
393  .TPD_MAX (70200000),
394  .TXPR (270000),
395  .TXPR_TCK (5),
396  .TXS (270000),
397  .TXS_TCK (5),
398  .TXSDLL (512),
399  .TISXR (350),
400  .TCKSRE (10000),
401  .TCKSRE_TCK (5),
402  .TCKSRX (10000),
403  .TCKSRX_TCK (5),
404  .TCKESR_TCK (4),
405  .TAOF (0.7),
406  .TAONPD (8500),
407  .TAOFPD (8500),
408  .ODTH4 (4),
409  .ODTH8 (6),
410  .TADC (0.7),
411  .TWLMRD (40),
412  .TWLDQSEN (25),
413  .TWLOE (2000),
414  .DM_BITS (2),
415  .ADDR_BITS (15),
416  .ROW_BITS (15),
417  .COL_BITS (10),
418  .DQ_BITS (16),
419  .DQS_BITS (2),
420  .BA_BITS (3),
421  .MEM_BITS (10),
422  .AP (10),
423  .BC (12),
424  .BL_BITS (3),
425  .BO_BITS (2),
426  .CS_BITS (1),
427  .RANKS (1),
428  .RZQ (240),
429  .PRE_DEF_PAT (8'hAA),
430  .STOP_ON_ERROR (1),
431  .DEBUG (1),
432  .BUS_DELAY (0),
433  .RANDOM_OUT_DELAY (0),
434  .RANDOM_SEED (31913),
435  .RDQSEN_PRE (2),
436  .RDQSEN_PST (1),
437  .RDQS_PRE (2),
438  .RDQS_PST (1),
439  .RDQEN_PRE (0),
440  .RDQEN_PST (0),
441  .WDQS_PRE (2),
442  .WDQS_PST (1),
443  .check_strict_mrbits (1),
444  .check_strict_timing (1),
445  .feature_pasr (1),
446  .feature_truebl4 (0),
447  .feature_odt_hi (0),
448  .PERTCKAVG (512),
449  .LOAD_MODE (4'b0000),
450  .REFRESH (4'b0001),
451  .PRECHARGE (4'b0010),
452  .ACTIVATE (4'b0011),
453  .WRITE (4'b0100),
454  .READ (4'b0101),
455  .ZQ (4'b0110),
456  .NOP (4'b0111),
457  .PWR_DOWN (4'b1000),
458  .SELF_REF (4'b1001),
459  .RFF_BITS (128),
460  .RFF_CHUNK (32),
461  .SAME_BANK (2'd0),
462  .DIFF_BANK (2'd1),
463  .DIFF_GROUP (2'd2),
464  .SIMUL_500US (5),
465  .SIMUL_200US (2)
466  ) **/
467  ddr3_i (
468  .rst_n (SDRST_D), // input
469  .ck (SDCLK_D), // input
470  .ck_n (SDNCLK_D), // input
471  .cke (SDCKE_D), // input
472  .cs_n (1'b0), // input
473  .ras_n (SDRAS_D), // input
474  .cas_n (SDCAS_D), // input
475  .we_n (SDWE_D), // input
476  .dm_tdqs ({SDDMU_D,SDDML_D}), // inout[1:0]
477  .ba (SDBA_D[2:0]), // input[2:0]
478  .addr (SDA_D[14:0]), // input[14:0]
479  .dq (SDD_D[15:0]), // inout[15:0]
480  .dqs ({DQSU_D,DQSL_D}), // inout[1:0]
481  .dqs_n ({NDQSU_D,NDQSL_D}), // inout[1:0]
482  .tdqs_n (), // output[1:0]
483  .odt (SDODT_D) // input
484  );
485 
486 
487 endmodule
488 
11173SDD_H1wire[15:0]
Definition: ddr3_wrap.v:170
11179NDQSU_H1wire
Definition: ddr3_wrap.v:176
11076ADDRESS_NUMBER15
Definition: ddr3_wrap.v:43
10332clk
Definition: dly_16.v:44
11178DQSU_H1wire
Definition: ddr3_wrap.v:175
dly_16_i dly_16
Definition: ddr3_wrap.v:161
[ADDRESS_NUMBER-1:0] 11091SDA
Definition: ddr3_wrap.v:60
[2:0] 11092SDBA
Definition: ddr3_wrap.v:61
11210NDQSU_DH2wire
Definition: ddr3_wrap.v:212
11171en_dq_inwire[1:0]
Definition: ddr3_wrap.v:156
11181SDDML_H2wire
Definition: ddr3_wrap.v:179
11197DQSL_Dwire
Definition: ddr3_wrap.v:197
11106CMDA_DELAY_HCMDA_DELAY/4
Definition: ddr3_wrap.v:78
11105CLK_DELAY_HCLK_DELAY/4
Definition: ddr3_wrap.v:77
11182SDDMU_H2wire
Definition: ddr3_wrap.v:180
[15:0] 11098SDD
Definition: ddr3_wrap.v:68
11187SDD_H3wire[15:0]
Definition: ddr3_wrap.v:186
11214DQSU_DH3wire
Definition: ddr3_wrap.v:217
11209DQSU_DH2wire
Definition: ddr3_wrap.v:211
11183DQSL_H2wire
Definition: ddr3_wrap.v:181
11192DQSU_H3wire
Definition: ddr3_wrap.v:191
ddr3_i ddr3
Definition: ddr3_wrap.v:297
11190DQSL_H3wire
Definition: ddr3_wrap.v:189
11185DQSU_H2wire
Definition: ddr3_wrap.v:183
11174SDDML_H1wire
Definition: ddr3_wrap.v:171
[WIDTH-1:0] 10336dout
Definition: dly_16.v:48
11077TRISTATE_DELAY_CLK4'h2
Definition: ddr3_wrap.v:44
11213NDQSL_DH3wire
Definition: ddr3_wrap.v:216
11176DQSL_H1wire
Definition: ddr3_wrap.v:173
11196SDDMU_Dwire
Definition: ddr3_wrap.v:196
11191NDQSL_H3wire
Definition: ddr3_wrap.v:190
11194SDD_Dwire[15:0]
Definition: ddr3_wrap.v:194
11152en_dqs_dlwire[1:0]
Definition: ddr3_wrap.v:129
11212DQSL_DH3wire
Definition: ddr3_wrap.v:215
11108DQ_IN_DELAY_HDQ_IN_DELAY/4
Definition: ddr3_wrap.v:80
[1:0] 11086dq_tri
Definition: ddr3_wrap.v:54
11207DQSL_DH2wire
Definition: ddr3_wrap.v:209
[WIDTH-1:0] 10335din
Definition: dly_16.v:47
11081DQS_IN_DELAY1500
Definition: ddr3_wrap.v:48
11188SDDML_H3wire
Definition: ddr3_wrap.v:187
11199DQSU_Dwire
Definition: ddr3_wrap.v:199
11083DQS_OUT_DELAY1500
Definition: ddr3_wrap.v:50
11189SDDMU_H3wire
Definition: ddr3_wrap.v:188
11177NDQSL_H1wire
Definition: ddr3_wrap.v:174
11084DQ_OUT_DELAY1500
Definition: ddr3_wrap.v:51
11169en_dq_outwire[1:0]
Definition: ddr3_wrap.v:150
11172en_dqs_inwire[1:0]
Definition: ddr3_wrap.v:157
11208NDQSL_DH2wire
Definition: ddr3_wrap.v:210
11193NDQSU_H3wire
Definition: ddr3_wrap.v:192
11082DQ_IN_DELAY1500
Definition: ddr3_wrap.v:49
11206SDD_DH2wire[15:0]
Definition: ddr3_wrap.v:208
11109DQS_OUT_DELAY_HDQS_OUT_DELAY/4
Definition: ddr3_wrap.v:81
11110DQ_OUT_DELAY_HDQ_OUT_DELAY/4
Definition: ddr3_wrap.v:82
[1:0] 11087dqs_tri
Definition: ddr3_wrap.v:55
11201SDD_DH1wire[15:0]
Definition: ddr3_wrap.v:202
11203NDQSL_DH1wire
Definition: ddr3_wrap.v:204
11107DQS_IN_DELAY_HDQS_IN_DELAY/4
Definition: ddr3_wrap.v:79
11204DQSU_DH1wire
Definition: ddr3_wrap.v:205
11211SDD_DH3wire[15:0]
Definition: ddr3_wrap.v:214
11215NDQSU_DH3wire
Definition: ddr3_wrap.v:218
11200NDQSU_Dwire
Definition: ddr3_wrap.v:200
11205NDQSU_DH1wire
Definition: ddr3_wrap.v:206
11080CMDA_DELAY1500
Definition: ddr3_wrap.v:47
11170en_dqs_outwire[1:0]
Definition: ddr3_wrap.v:151
11180SDD_H2wire[15:0]
Definition: ddr3_wrap.v:178
11198NDQSL_Dwire
Definition: ddr3_wrap.v:198
11079CLK_DELAY1500
Definition: ddr3_wrap.v:46
11078TRISTATE_DELAY0
Definition: ddr3_wrap.v:45
11186NDQSU_H2wire
Definition: ddr3_wrap.v:184
11151en_dq_dlwire[1:0]
Definition: ddr3_wrap.v:128
11195SDDML_Dwire
Definition: ddr3_wrap.v:195
11175SDDMU_H1wire
Definition: ddr3_wrap.v:172
10333rst
Definition: dly_16.v:45
11202DQSL_DH1wire
Definition: ddr3_wrap.v:203
11184NDQSL_H2wire
Definition: ddr3_wrap.v:182
[3:0] 10334dly
Definition: dly_16.v:46