x393  1.0
FPGAcodeforElphelNC393camera
dly_16.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
41 module dly_16 #(
42  parameter WIDTH=1
43  )(
44  input clk,
45  input rst,
46  input [3:0] dly,
47  input [WIDTH-1:0] din,
48  output [WIDTH-1:0] dout
49 );
50  generate
51  genvar i;
52  for (i=0; i < WIDTH; i=i+1) begin: bit_block
53  dly01_16 dly01_16_i (
54  .clk(clk), // input
55  .rst(rst), // input
56  .dly(dly), // input[3:0]
57  .din(din[i]), // input
58  .dout(dout[i]) // output reg
59  );
60  end
61  endgenerate
62 endmodule
63 
10332clk
Definition: dly_16.v:44
10329dout
Definition: dly01_16.v:46
[3:0] 10327dly
Definition: dly01_16.v:44
[WIDTH-1:0] 10336dout
Definition: dly_16.v:48
10331WIDTH1
Definition: dly_16.v:42
10326rst
Definition: dly01_16.v:43
[WIDTH-1:0] 10335din
Definition: dly_16.v:47
dly01_16_i dly01_16[generate]
Definition: dly_16.v:53
Definition: dly_16.v:41
10325clk
Definition: dly01_16.v:42
10333rst
Definition: dly_16.v:45
10328din
Definition: dly01_16.v:45
[3:0] 10334dly
Definition: dly_16.v:46