x393  1.0
FPGAcodeforElphelNC393camera
simul_axi_slow_ready.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
42  input clk,
43  input reset,
44  input [3:0] delay,
45  input valid,
46  output ready
47  );
48  reg [14:0] rdy_reg;
49  assign ready=(delay==0)?1'b1: ((((rdy_reg[14:0] >> (delay-1)) & 1) != 0)?1'b1:1'b0);
50  always @ (posedge clk or posedge reset) begin
51  if (reset) rdy_reg <=0;
52  else if (!valid || ready) rdy_reg <=0;
53  else rdy_reg <={rdy_reg[13:0],valid};
54  end
55 
56 
57 endmodule
58