x393  1.0
FPGAcodeforElphelNC393camera
idelay_nofine.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
42 //SuppressWarnings VEditor - IODELAY_GRP used in (* *) construnt
43 # ( parameter IODELAY_GRP = "IODELAY_MEMORY",
44  parameter integer DELAY_VALUE = 0,
45  parameter real REFCLK_FREQUENCY = 200.0,
46  parameter HIGH_PERFORMANCE_MODE = "FALSE"
47 ) (
48  input clk,
49  input rst,
50  input set,
51  input ld,
52  input [4:0] delay,
53  input data_in,
54  output data_out
55 );
56 `ifndef IGNORE_ATTR
57 (* IODELAY_GROUP = IODELAY_GRP *)
58 `endif
60  #(
61  .CINVCTRL_SEL("FALSE"),
62  .DELAY_SRC("IDATAIN"),
63 // .FINEDELAY("ADD_DLY"),
65  .IDELAY_TYPE("VAR_LOAD_PIPE"),
66  .IDELAY_VALUE(DELAY_VALUE),
67 // .IS_C_INVERTED(1'b0), // ISE does not have this parameter
68 // .IS_DATAIN_INVERTED(1'b0), // ISE does not have this parameter
69 // .IS_IDATAIN_INVERTED(1'b0), // ISE does not have this parameter
70  .PIPE_SEL("TRUE"),
72  .SIGNAL_PATTERN("DATA")
73  )
74  idelay2_i(
75  .CNTVALUEOUT(),
76  .DATAOUT(data_out),
77  .C(clk),
78  .CE(1'b0),
79  .CINVCTRL(1'b0),
80  .CNTVALUEIN(delay[4:0]),
81  .DATAIN(1'b0),
82  .IDATAIN(data_in),
83 // .IFDLY(fdly),
84  .INC(1'b0),
85  .LD(set),
86  .LDPIPEEN(ld),
87  .REGRST(rst)
88  );
89 
90 endmodule
91 
92 
integer 11273DELAY_VALUE0
Definition: idelay_nofine.v:44
real 11274REFCLK_FREQUENCY200.0
Definition: idelay_nofine.v:45
11272IODELAY_GRP"IODELAY_MEMORY"
Definition: idelay_nofine.v:43
idelay2_i IDELAYE2
Definition: idelay_nofine.v:59
11275HIGH_PERFORMANCE_MODE"FALSE"
Definition: idelay_nofine.v:46
[4:0] 11280delay
Definition: idelay_nofine.v:52