x393  1.0
FPGAcodeforElphelNC393camera
status_generate_extra Module Reference
Inheritance diagram for status_generate_extra:

Public Member Functions

Functions

clogb2  integer ( value[ 31 : 0 ] :Input )

Static Public Member Functions

Always Constructs

ALWAYS_540  ( rst or clk )
ALWAYS_541  ( clk )

Public Attributes

Inputs

rst  
clk  
srst  
we  
wd   [ 7 : 0 ]
status   [ALL_BITS - 1 : 0 ]
start  

Outputs

ad   [ 7 : 0 ]
rq  

Parameters

STATUS_REG_ADDR   7
PAYLOAD_BITS   1
REGISTER_STATUS   0
EXTRA_WORDS   2
EXTRA_REG_ADDR   8
EXTRA_WORDS_LN2  clogb2 (EXTRA_WORDS + 1
STATUS_BITS  ((PAYLOAD_BITS > 0 ) ? PAYLOAD_BITS : 1
ALL_BITS  STATUS_BITS + 32 * EXTRA_WORDS
NUM_MSG  EXTRA_WORDS + ((PAYLOAD_BITS > 0 )? 1 : 0
NUM_BYTES  (STATUS_BITS + 21 ) >> 3
ALIGNED_STATUS_WIDTH  ((NUM_BYTES - 2 ) << 3 ) + 2
ALIGNED_STATUS_BIT_2  (ALIGNED_STATUS_WIDTH > 2 ) ? 2 : 0
STATUS_MASK  ( 1 << (NUM_BYTES ) - 1 ) - 1

GENERATE

GENERATE [285]  

Signals

wire[ 1 : 0 ]  mode_w
reg[ 1 : 0 ]  mode
reg[ 5 : 0 ]  seq
reg[STATUS_BITS - 1 : 0 ]  status_r0r
wire[STATUS_BITS - 1 : 0 ]  status_r0
reg[STATUS_BITS - 1 : 0 ]  status_r
reg  status_changed_r
reg  cmd_pend
reg[ 39 : 0 ]  data
wire  need_to_send
wire[ALIGNED_STATUS_WIDTH - 1 : 0 ]  aligned_status
reg[ 2 : 0 ]  rq_r
reg[NUM_MSG - 1 : 0 ]  msg1hot
wire  msg_is_last
wire  start_last
wire  msg_is_status
wire  start_status
reg[ 7 : 0 ]  next_addr
wire[ 7 : 0 ]  first_addr
reg[ 2 : 0 ]  next_mask
wire[ 2 : 0 ]  first_mask
reg[EXTRA_WORDS_LN2 - 1 : 0 ]  msg_num
wire[ 31 : 0 ]  dont_care
wire[ 32 *1<<EXTRA_WORDS_LN2- 1 : 0 ]  pre_mux
wire[ 31 : 0 ]  status32
reg  shift_data

Detailed Description

Definition at line 208 of file status_generate.v.

Member Function Documentation

ALWAYS_540 (   rst or clk  
)
Always Construct

Definition at line 309 of file status_generate.v.

ALWAYS_541 (   clk  
)
Always Construct

Definition at line 376 of file status_generate.v.

integer clogb2 (   Input [ 31 : 0 ] value  
)
Function

Definition at line 382 of file status_generate.v.

Member Data Documentation

STATUS_REG_ADDR 7
Parameter

Definition at line 209 of file status_generate.v.

PAYLOAD_BITS 1
Parameter

Definition at line 210 of file status_generate.v.

REGISTER_STATUS 0
Parameter

Definition at line 211 of file status_generate.v.

EXTRA_WORDS 2
Parameter

Definition at line 212 of file status_generate.v.

EXTRA_REG_ADDR 8
Parameter

Definition at line 217 of file status_generate.v.

rst
Input

Definition at line 219 of file status_generate.v.

clk
Input

Definition at line 220 of file status_generate.v.

srst
Input

Definition at line 221 of file status_generate.v.

we
Input

Definition at line 222 of file status_generate.v.

wd [ 7 : 0 ]
Input

Definition at line 223 of file status_generate.v.

status [ALL_BITS - 1 : 0 ]
Input

Definition at line 225 of file status_generate.v.

ad [ 7 : 0 ]
Output

Definition at line 226 of file status_generate.v.

rq
Output

Definition at line 227 of file status_generate.v.

start
Input

Definition at line 228 of file status_generate.v.

Definition at line 232 of file status_generate.v.

STATUS_BITS ((PAYLOAD_BITS > 0 ) ? PAYLOAD_BITS : 1
Parameter

Definition at line 235 of file status_generate.v.

ALL_BITS STATUS_BITS + 32 * EXTRA_WORDS
Parameter

Definition at line 236 of file status_generate.v.

NUM_MSG EXTRA_WORDS + ((PAYLOAD_BITS > 0 )? 1 : 0
Parameter

Definition at line 237 of file status_generate.v.

NUM_BYTES (STATUS_BITS + 21 ) >> 3
Parameter

Definition at line 239 of file status_generate.v.

ALIGNED_STATUS_WIDTH ((NUM_BYTES - 2 ) << 3 ) + 2
Parameter

Definition at line 240 of file status_generate.v.

ALIGNED_STATUS_BIT_2 (ALIGNED_STATUS_WIDTH > 2 ) ? 2 : 0
Parameter

Definition at line 242 of file status_generate.v.

STATUS_MASK ( 1 << (NUM_BYTES ) - 1 ) - 1
Parameter

Definition at line 243 of file status_generate.v.

mode_w
Signal

Definition at line 247 of file status_generate.v.

mode
Signal

Definition at line 248 of file status_generate.v.

seq
Signal

Definition at line 249 of file status_generate.v.

status_r0r
Signal

Definition at line 250 of file status_generate.v.

status_r0
Signal

Definition at line 251 of file status_generate.v.

status_r
Signal

Definition at line 252 of file status_generate.v.

Definition at line 253 of file status_generate.v.

cmd_pend
Signal

Definition at line 254 of file status_generate.v.

data
Signal

Definition at line 255 of file status_generate.v.

need_to_send
Signal

Definition at line 256 of file status_generate.v.

Definition at line 257 of file status_generate.v.

rq_r
Signal

Definition at line 259 of file status_generate.v.

msg1hot
Signal

Definition at line 261 of file status_generate.v.

msg_is_last
Signal

Definition at line 262 of file status_generate.v.

start_last
Signal

Definition at line 263 of file status_generate.v.

msg_is_status
Signal

Definition at line 264 of file status_generate.v.

start_status
Signal

Definition at line 265 of file status_generate.v.

next_addr
Signal

Definition at line 266 of file status_generate.v.

first_addr
Signal

Definition at line 267 of file status_generate.v.

next_mask
Signal

Definition at line 269 of file status_generate.v.

first_mask
Signal

Definition at line 270 of file status_generate.v.

msg_num
Signal

Definition at line 273 of file status_generate.v.

dont_care
Signal

Definition at line 274 of file status_generate.v.

pre_mux
Signal

Definition at line 276 of file status_generate.v.

status32
Signal

Definition at line 277 of file status_generate.v.

shift_data
Signal

Definition at line 283 of file status_generate.v.

GENERATE [285]
GENERATE

Definition at line 285 of file status_generate.v.


The documentation for this Module was generated from the following files: