x393  1.0
FPGAcodeforElphelNC393camera
sens_sync Module Reference
Inheritance diagram for sens_sync:
Collaboration diagram for sens_sync:

Static Public Member Functions

Always Constructs

ALWAYS_381  ( mclk )
ALWAYS_382  ( pclk )

Public Attributes

Inputs

pclk  
mclk  
mrst  
prst  
en  
sof_in  
eof_in  
hact  
trigger_mode  
trig_in  
cmd_ad   [ 7 : 0 ]
cmd_stb  

Outputs

trig  
sof_out_pclk   reg
sof_out  
sof_late  

Parameters

SENS_SYNC_ADDR  'h404
SENS_SYNC_MASK  'h7fc
SENS_SYNC_MULT  'h2
SENS_SYNC_LATE  'h3
SENS_SYNC_FBITS   16
SENS_SYNC_LBITS   16
SENS_SYNC_LATE_DFLT   15
SENS_SYNC_MINBITS   8
SENS_SYNC_MINPER   130
DATA_WIDTH  (SENS_SYNC_FBITS > SENS_SYNC_LBITS ) ? SENS_SYNC_FBITS : SENS_SYNC_LBITS

Signals

reg[SENS_SYNC_FBITS - 1 : 0 ]  sub_frames_pclk
reg[SENS_SYNC_LBITS - 1 : 0 ]  line_dly_pclk
reg[SENS_SYNC_FBITS - 1 : 0 ]  sub_frames_left
reg[SENS_SYNC_FBITS - 1 : 0 ]  lines_left
reg[DATA_WIDTH - 1 : 0 ]  cmd_data_r
wire[ 31 : 0 ]  cmd_data
wire[ 1 : 0 ]  cmd_a
wire  cmd_we
reg[ 1 : 0 ]  cmd_a_r
wire  set_data_mclk
wire  set_data_pclk
wire  zero_frames_left
wire  trig_in_pclk
wire  pre_sof_out
reg  hact_r
wire  hact_single
reg  sof_dly
wire  last_line
wire  pre_sof_late
reg  trigger_mode_pclk
reg  en_vacts_free
reg  overdue
reg  trig_r
reg[SENS_SYNC_MINBITS - 1 : 0 ]  period_cntr
reg  period_dly

Module Instances

cmd_deser::cmd_deser_sens_sync_i   Module cmd_deser
pulse_cross_clock::pulse_cross_clock_set_data_pclk_i   Module pulse_cross_clock
pulse_cross_clock::pulse_cross_clock_trig_in_pclk_i   Module pulse_cross_clock
pulse_cross_clock::pulse_cross_clock_sof_out_i   Module pulse_cross_clock
pulse_cross_clock::pulse_cross_clock_sof_late_i   Module pulse_cross_clock

Detailed Description

Definition at line 41 of file sens_sync.v.

Member Function Documentation

ALWAYS_381 (   mclk  
)
Always Construct

Definition at line 110 of file sens_sync.v.

ALWAYS_382 (   pclk  
)
Always Construct

Definition at line 115 of file sens_sync.v.

Member Data Documentation

SENS_SYNC_ADDR 'h404
Parameter

Definition at line 42 of file sens_sync.v.

SENS_SYNC_MASK 'h7fc
Parameter

Definition at line 43 of file sens_sync.v.

SENS_SYNC_MULT 'h2
Parameter

Definition at line 45 of file sens_sync.v.

SENS_SYNC_LATE 'h3
Parameter

Definition at line 46 of file sens_sync.v.

SENS_SYNC_FBITS 16
Parameter

Definition at line 47 of file sens_sync.v.

SENS_SYNC_LBITS 16
Parameter

Definition at line 48 of file sens_sync.v.

SENS_SYNC_LATE_DFLT 15
Parameter

Definition at line 49 of file sens_sync.v.

SENS_SYNC_MINBITS 8
Parameter

Definition at line 50 of file sens_sync.v.

SENS_SYNC_MINPER 130
Parameter

Definition at line 51 of file sens_sync.v.

pclk
Input

Definition at line 55 of file sens_sync.v.

mclk
Input

Definition at line 56 of file sens_sync.v.

mrst
Input

Definition at line 57 of file sens_sync.v.

prst
Input

Definition at line 58 of file sens_sync.v.

en
Input

Definition at line 59 of file sens_sync.v.

sof_in
Input

Definition at line 60 of file sens_sync.v.

eof_in
Input

Definition at line 61 of file sens_sync.v.

hact
Input

Definition at line 62 of file sens_sync.v.

trigger_mode
Input

Definition at line 63 of file sens_sync.v.

trig_in
Input

Definition at line 64 of file sens_sync.v.

trig
Output

Definition at line 65 of file sens_sync.v.

sof_out_pclk reg
Output

Definition at line 66 of file sens_sync.v.

sof_out
Output

Definition at line 67 of file sens_sync.v.

sof_late
Output

Definition at line 68 of file sens_sync.v.

cmd_ad [ 7 : 0 ]
Input

Definition at line 70 of file sens_sync.v.

cmd_stb
Input

Definition at line 71 of file sens_sync.v.

Definition at line 75 of file sens_sync.v.

line_dly_pclk
Signal

Definition at line 76 of file sens_sync.v.

Definition at line 77 of file sens_sync.v.

lines_left
Signal

Definition at line 78 of file sens_sync.v.

cmd_data_r
Signal

Definition at line 79 of file sens_sync.v.

cmd_data
Signal

Definition at line 80 of file sens_sync.v.

cmd_a
Signal

Definition at line 81 of file sens_sync.v.

cmd_we
Signal

Definition at line 82 of file sens_sync.v.

cmd_a_r
Signal

Definition at line 83 of file sens_sync.v.

set_data_mclk
Signal

Definition at line 84 of file sens_sync.v.

set_data_pclk
Signal

Definition at line 85 of file sens_sync.v.

Definition at line 86 of file sens_sync.v.

trig_in_pclk
Signal

Definition at line 87 of file sens_sync.v.

pre_sof_out
Signal

Definition at line 88 of file sens_sync.v.

hact_r
Signal

Definition at line 89 of file sens_sync.v.

hact_single
Signal

Definition at line 90 of file sens_sync.v.

sof_dly
Signal

Definition at line 91 of file sens_sync.v.

last_line
Signal

Definition at line 92 of file sens_sync.v.

pre_sof_late
Signal

Definition at line 93 of file sens_sync.v.

Definition at line 94 of file sens_sync.v.

en_vacts_free
Signal

Definition at line 95 of file sens_sync.v.

overdue
Signal

Definition at line 96 of file sens_sync.v.

trig_r
Signal

Definition at line 98 of file sens_sync.v.

period_cntr
Signal

Definition at line 99 of file sens_sync.v.

period_dly
Signal

Definition at line 100 of file sens_sync.v.

cmd_deser cmd_deser_sens_sync_i
Module Instance

Definition at line 156 of file sens_sync.v.

pulse_cross_clock pulse_cross_clock_set_data_pclk_i
Module Instance

Definition at line 178 of file sens_sync.v.

pulse_cross_clock pulse_cross_clock_trig_in_pclk_i
Module Instance

Definition at line 187 of file sens_sync.v.

pulse_cross_clock pulse_cross_clock_sof_out_i
Module Instance

Definition at line 197 of file sens_sync.v.

pulse_cross_clock pulse_cross_clock_sof_late_i
Module Instance

Definition at line 205 of file sens_sync.v.


The documentation for this Module was generated from the following files: