x393  1.0
FPGAcodeforElphelNC393camera
sata_phy_dev Module Reference
Inheritance diagram for sata_phy_dev:
Collaboration diagram for sata_phy_dev:

Static Public Member Functions

Always Constructs

ALWAYS_611  ( gtrefclk )
ALWAYS_612  ( gtrefclk )
ALWAYS_613  ( clk or extrst )
ALWAYS_614  ( clk or extrst )
ALWAYS_615  ( clk or extrst )
ALWAYS_616  ( tx_serial_clk )
ALWAYS_617  ( clk )

Public Attributes

Inputs

extrst  wire
extclk_p  wire
extclk_n  wire
rxp_in  wire
rxn_in  wire
ll_data_in  wire [ 31 : 0 ]
ll_charisk_in  wire [ 3 : 0 ]
serial_delay   [ 4 : 0 ]

Outputs

clk  wire
rst  wire
phy_ready  wire
txp_out  wire
txn_out  wire
ll_data_out  wire [ 31 : 0 ]
ll_charisk_out  wire [ 3 : 0 ]
ll_err_out  wire [ 3 : 0 ]

Parameters

DATA_BYTE_WIDTH   4
TXPMARESET_TIME   5 'h1
RXPMARESET_TIME   5 'h11
RXCDRPHRESET_TIME   5 'h1
RXCDRFREQRESET_TIME   5 'h1
RXDFELPMRESET_TIME   7 'hf
RXISCANRESET_TIME   5 'h1
RXEYERESET_TIME   7 'h0 + RXPMARESET_TIME + RXCDRPHRESET_TIME + RXCDRFREQRESET_TIME + RXDFELPMRESET_TIME + RXISCANRESET_TIME
RST_TIMER_LIMIT   [ 7 : 0 ] 8 'b1000
CLKSWING_CFG   [ 1 : 0 ] 2 'b11

Signals

wire[ 31 : 0 ]  txdata
wire[ 31 : 0 ]  txdata_oob
wire[ 3 : 0 ]  txcharisk
wire[ 3 : 0 ]  txcharisk_oob
wire[ 63 : 0 ]  rxdata
wire[ 63 : 0 ]  rxdata_gtx
wire[ 7 : 0 ]  rxcharisk
wire[ 7 : 0 ]  rxcharisk_gtx
wire[ 7 : 0 ]  rxchariscomma
wire[ 7 : 0 ]  rxchariscomma_gtx
wire[ 7 : 0 ]  rxdisperr
wire[ 7 : 0 ]  rxdisperr_gtx
wire[ 7 : 0 ]  rxnotintable
wire[ 7 : 0 ]  rxnotintable_gtx
wire  rxcomwakedet
wire  rxcominitdet
wire  cplllock
wire  txcominit
wire  txcomwake
wire  rxreset
wire  rxelecidle
wire  txelecidle
wire  rxbyteisaligned
wire  txpcsreset_req
wire  recal_tx_done
wire  gtx_ready
wire  cplllockdetclk
wire  drpclk
wire  cpllreset
wire  gtrefclk
wire  rxresetdone
wire  txresetdone
wire  txpcsreset
wire  txreset
wire  txuserrdy
wire  rxuserrdy
wire  txusrclk
wire  txusrclk2
wire  rxusrclk
wire  rxusrclk2
wire  txp
wire  txn
wire  rxp
wire  rxn
wire  txoutclk
wire  txpmareset_done
wire  rxeyereset_done
reg[ 2 : 0 ]  txpmareset_cnt
reg[ 6 : 0 ]  rxeyereset_cnt
wire  usrpll_locked
reg[ 3 : 0 ]  txpcsreset_cnt
wire  txpcsreset_stop
reg[ 7 : 0 ]  rst_timer
reg  rst_r
wire  usrpll_fb_clk
wire  usrclk
wire  usrclk2
wire  tx_serial_clk
reg[ 31 : 0 ]  txp_r
reg[ 31 : 0 ]  txn_r
reg  twobytes_shift

Module Instances

oob_dev::oob_dev   Module oob_dev
PLLE2_ADV::usrclk_pll   Module PLLE2_ADV
IBUFDS_GTE2::ext_clock_buf   Module IBUFDS_GTE2
gtxe2_channel_wrapper::gtx_wrapper   Module gtxe2_channel_wrapper

Detailed Description

Definition at line 40 of file sata_phy_dev.v.

Member Function Documentation

ALWAYS_611 (   gtrefclk  
)
Always Construct

Definition at line 172 of file sata_phy_dev.v.

ALWAYS_612 (   gtrefclk  
)
Always Construct

Definition at line 184 of file sata_phy_dev.v.

ALWAYS_613 (   clk or extrst  
)
Always Construct

Definition at line 208 of file sata_phy_dev.v.

ALWAYS_614 (   clk or extrst  
)
Always Construct

Definition at line 217 of file sata_phy_dev.v.

ALWAYS_615 (   clk or extrst  
)
Always Construct

Definition at line 221 of file sata_phy_dev.v.

ALWAYS_616 (   tx_serial_clk  
)
Always Construct

Definition at line 755 of file sata_phy_dev.v.

ALWAYS_617 (   clk  
)
Always Construct

Definition at line 762 of file sata_phy_dev.v.

Member Data Documentation

DATA_BYTE_WIDTH 4
Parameter

Definition at line 41 of file sata_phy_dev.v.

extrst wire
Input

Definition at line 45 of file sata_phy_dev.v.

clk wire
Output

Definition at line 47 of file sata_phy_dev.v.

rst wire
Output

Definition at line 48 of file sata_phy_dev.v.

phy_ready wire
Output

Definition at line 51 of file sata_phy_dev.v.

extclk_p wire
Input

Definition at line 55 of file sata_phy_dev.v.

extclk_n wire
Input

Definition at line 56 of file sata_phy_dev.v.

txp_out wire
Output

Definition at line 58 of file sata_phy_dev.v.

txn_out wire
Output

Definition at line 59 of file sata_phy_dev.v.

rxp_in wire
Input

Definition at line 60 of file sata_phy_dev.v.

rxn_in wire
Input

Definition at line 61 of file sata_phy_dev.v.

ll_data_out wire [ 31 : 0 ]
Output

Definition at line 64 of file sata_phy_dev.v.

ll_charisk_out wire [ 3 : 0 ]
Output

Definition at line 65 of file sata_phy_dev.v.

ll_err_out wire [ 3 : 0 ]
Output

Definition at line 66 of file sata_phy_dev.v.

ll_data_in wire [ 31 : 0 ]
Input

Definition at line 69 of file sata_phy_dev.v.

ll_charisk_in wire [ 3 : 0 ]
Input

Definition at line 70 of file sata_phy_dev.v.

serial_delay [ 4 : 0 ]
Input

Definition at line 72 of file sata_phy_dev.v.

txdata
Signal

Definition at line 76 of file sata_phy_dev.v.

txdata_oob
Signal

Definition at line 77 of file sata_phy_dev.v.

txcharisk
Signal

Definition at line 78 of file sata_phy_dev.v.

txcharisk_oob
Signal

Definition at line 79 of file sata_phy_dev.v.

rxdata
Signal

Definition at line 80 of file sata_phy_dev.v.

rxdata_gtx
Signal

Definition at line 81 of file sata_phy_dev.v.

rxcharisk
Signal

Definition at line 82 of file sata_phy_dev.v.

rxcharisk_gtx
Signal

Definition at line 83 of file sata_phy_dev.v.

rxchariscomma
Signal

Definition at line 84 of file sata_phy_dev.v.

Definition at line 85 of file sata_phy_dev.v.

rxdisperr
Signal

Definition at line 86 of file sata_phy_dev.v.

rxdisperr_gtx
Signal

Definition at line 87 of file sata_phy_dev.v.

rxnotintable
Signal

Definition at line 88 of file sata_phy_dev.v.

Definition at line 89 of file sata_phy_dev.v.

rxcomwakedet
Signal

Definition at line 95 of file sata_phy_dev.v.

rxcominitdet
Signal

Definition at line 96 of file sata_phy_dev.v.

cplllock
Signal

Definition at line 97 of file sata_phy_dev.v.

txcominit
Signal

Definition at line 98 of file sata_phy_dev.v.

txcomwake
Signal

Definition at line 99 of file sata_phy_dev.v.

rxreset
Signal

Definition at line 100 of file sata_phy_dev.v.

rxelecidle
Signal

Definition at line 101 of file sata_phy_dev.v.

txelecidle
Signal

Definition at line 102 of file sata_phy_dev.v.

Definition at line 103 of file sata_phy_dev.v.

Definition at line 104 of file sata_phy_dev.v.

recal_tx_done
Signal

Definition at line 105 of file sata_phy_dev.v.

gtx_ready
Signal

Definition at line 107 of file sata_phy_dev.v.

Definition at line 146 of file sata_phy_dev.v.

drpclk
Signal

Definition at line 147 of file sata_phy_dev.v.

cpllreset
Signal

Definition at line 148 of file sata_phy_dev.v.

gtrefclk
Signal

Definition at line 149 of file sata_phy_dev.v.

rxresetdone
Signal

Definition at line 150 of file sata_phy_dev.v.

txresetdone
Signal

Definition at line 151 of file sata_phy_dev.v.

txpcsreset
Signal

Definition at line 152 of file sata_phy_dev.v.

txreset
Signal

Definition at line 153 of file sata_phy_dev.v.

txuserrdy
Signal

Definition at line 154 of file sata_phy_dev.v.

rxuserrdy
Signal

Definition at line 155 of file sata_phy_dev.v.

txusrclk
Signal

Definition at line 156 of file sata_phy_dev.v.

txusrclk2
Signal

Definition at line 157 of file sata_phy_dev.v.

rxusrclk
Signal

Definition at line 158 of file sata_phy_dev.v.

rxusrclk2
Signal

Definition at line 159 of file sata_phy_dev.v.

txp
Signal

Definition at line 160 of file sata_phy_dev.v.

txn
Signal

Definition at line 161 of file sata_phy_dev.v.

rxp
Signal

Definition at line 162 of file sata_phy_dev.v.

rxn
Signal

Definition at line 163 of file sata_phy_dev.v.

txoutclk
Signal

Definition at line 164 of file sata_phy_dev.v.

Definition at line 165 of file sata_phy_dev.v.

Definition at line 166 of file sata_phy_dev.v.

TXPMARESET_TIME 5 'h1
Parameter

Definition at line 169 of file sata_phy_dev.v.

Definition at line 170 of file sata_phy_dev.v.

RXPMARESET_TIME 5 'h11
Parameter

Definition at line 176 of file sata_phy_dev.v.

RXCDRPHRESET_TIME 5 'h1
Parameter

Definition at line 177 of file sata_phy_dev.v.

RXCDRFREQRESET_TIME 5 'h1
Parameter

Definition at line 178 of file sata_phy_dev.v.

RXDFELPMRESET_TIME 7 'hf
Parameter

Definition at line 179 of file sata_phy_dev.v.

RXISCANRESET_TIME 5 'h1
Parameter

Definition at line 180 of file sata_phy_dev.v.

Definition at line 182 of file sata_phy_dev.v.

usrpll_locked
Signal

Definition at line 190 of file sata_phy_dev.v.

Definition at line 201 of file sata_phy_dev.v.

Definition at line 202 of file sata_phy_dev.v.

rst_timer
Signal

Definition at line 214 of file sata_phy_dev.v.

rst_r
Signal

Definition at line 215 of file sata_phy_dev.v.

RST_TIMER_LIMIT 8 'b1000
Parameter

Definition at line 216 of file sata_phy_dev.v.

usrpll_fb_clk
Signal

Definition at line 230 of file sata_phy_dev.v.

usrclk
Signal

Definition at line 231 of file sata_phy_dev.v.

usrclk2
Signal

Definition at line 232 of file sata_phy_dev.v.

CLKSWING_CFG 2 'b11
Parameter

Definition at line 300 of file sata_phy_dev.v.

tx_serial_clk
Signal

Definition at line 751 of file sata_phy_dev.v.

txp_r
Signal

Definition at line 753 of file sata_phy_dev.v.

txn_r
Signal

Definition at line 754 of file sata_phy_dev.v.

Definition at line 761 of file sata_phy_dev.v.

gtxe2_channel_wrapper gtx_wrapper
Module Instance

Definition at line 314 of file sata_phy_dev.v.

IBUFDS_GTE2 ext_clock_buf
Module Instance

Definition at line 301 of file sata_phy_dev.v.

oob_dev oob_dev
Module Instance

Definition at line 116 of file sata_phy_dev.v.

PLLE2_ADV usrclk_pll
Module Instance

Definition at line 239 of file sata_phy_dev.v.


The documentation for this Module was generated from the following files: