x393  1.0
FPGAcodeforElphelNC393camera
ram18p_lt32w_lt32r Module Reference
Inheritance diagram for ram18p_lt32w_lt32r:
Collaboration diagram for ram18p_lt32w_lt32r:

Public Attributes

Inputs

rclk  
raddr   [ 13 -LOG2WIDTH_RD: 0 ]
ren  
regen  
wclk  
waddr   [ 13 -LOG2WIDTH_WR : 0 ]
we  
web   [ 3 : 0 ]
data_in   [ 9 << LOG2WIDTH_WR - 3 - 1 : 0 ]

Outputs

data_out   [ 9 << LOG2WIDTH_RD- 3 - 1 : 0 ]

Parameters

REGISTERS  integer 0
LOG2WIDTH_WR  integer 4
11695  
PWIDTH_WR  (LOG2WIDTH_WR > 2 )? ( 9 << (LOG2WIDTH_WR - 3 )): ( 1 << LOG2WIDTH_WR
PWIDTH_RD  (LOG2WIDTH_RD > 2 )? ( 9 << (LOG2WIDTH_RD - 3 )): ( 1 << LOG2WIDTH_RD
WIDTH_WR   1 << LOG2WIDTH_WR
WIDTH_WRP   1 << (LOG2WIDTH_WR - 3
WIDTH_RD   1 << LOG2WIDTH_RD
WIDTH_RDP   1 << (LOG2WIDTH_RD- 3

Includes

ram18_declare_init.vh
ram18_pass_init.vh

Signals

wire[ 15 : 0 ]  data_out16
wire[ 1 : 0 ]  datap_out2
wire[WIDTH_WR + 15 : 0 ]  data_in_ext
wire[ 15 : 0 ]  data_in16
wire[WIDTH_WRP + 1 : 0 ]  datap_in_ext
wire[ 1 : 0 ]  datap_in2

Module Instances

RAMB18E1::RAMB36E1_i   Module RAMB18E1

Detailed Description

Definition at line 270 of file ram18p_var_w_var_r.v.

Member Data Documentation

REGISTERS 0
Parameter

Definition at line 272 of file ram18p_var_w_var_r.v.

LOG2WIDTH_WR 4
Parameter

Definition at line 273 of file ram18p_var_w_var_r.v.

11695
Parameter

Definition at line 274 of file ram18p_var_w_var_r.v.

rclk
Input

Definition at line 281 of file ram18p_var_w_var_r.v.

raddr [ 13 -LOG2WIDTH_RD: 0 ]
Input

Definition at line 282 of file ram18p_var_w_var_r.v.

ren
Input

Definition at line 283 of file ram18p_var_w_var_r.v.

regen
Input

Definition at line 284 of file ram18p_var_w_var_r.v.

data_out [ 9 << LOG2WIDTH_RD- 3 - 1 : 0 ]
Output

Definition at line 285 of file ram18p_var_w_var_r.v.

wclk
Input

Definition at line 287 of file ram18p_var_w_var_r.v.

waddr [ 13 -LOG2WIDTH_WR : 0 ]
Input

Definition at line 288 of file ram18p_var_w_var_r.v.

we
Input

Definition at line 289 of file ram18p_var_w_var_r.v.

web [ 3 : 0 ]
Input

Definition at line 290 of file ram18p_var_w_var_r.v.

data_in [ 9 << LOG2WIDTH_WR - 3 - 1 : 0 ]
Input

Definition at line 291 of file ram18p_var_w_var_r.v.

PWIDTH_WR (LOG2WIDTH_WR > 2 )? ( 9 << (LOG2WIDTH_WR - 3 )): ( 1 << LOG2WIDTH_WR
Parameter

Definition at line 293 of file ram18p_var_w_var_r.v.

PWIDTH_RD (LOG2WIDTH_RD > 2 )? ( 9 << (LOG2WIDTH_RD - 3 )): ( 1 << LOG2WIDTH_RD
Parameter

Definition at line 294 of file ram18p_var_w_var_r.v.

WIDTH_WR 1 << LOG2WIDTH_WR
Parameter

Definition at line 295 of file ram18p_var_w_var_r.v.

WIDTH_WRP 1 << (LOG2WIDTH_WR - 3
Parameter

Definition at line 296 of file ram18p_var_w_var_r.v.

WIDTH_RD 1 << LOG2WIDTH_RD
Parameter

Definition at line 297 of file ram18p_var_w_var_r.v.

WIDTH_RDP 1 << (LOG2WIDTH_RD- 3
Parameter

Definition at line 298 of file ram18p_var_w_var_r.v.

data_out16
Signal

Definition at line 300 of file ram18p_var_w_var_r.v.

datap_out2
Signal

Definition at line 301 of file ram18p_var_w_var_r.v.

data_in_ext
Signal

Definition at line 304 of file ram18p_var_w_var_r.v.

data_in16
Signal

Definition at line 305 of file ram18p_var_w_var_r.v.

datap_in_ext
Signal

Definition at line 306 of file ram18p_var_w_var_r.v.

datap_in2
Signal

Definition at line 307 of file ram18p_var_w_var_r.v.

ram18_declare_init.vh include
Include

Definition at line 277 of file ram18p_var_w_var_r.v.

ram18_pass_init.vh include
Include

Definition at line 327 of file ram18p_var_w_var_r.v.

RAMB18E1 RAMB36E1_i
Module Instance

Definition at line 309 of file ram18p_var_w_var_r.v.


The documentation for this Module was generated from the following files: