x393  1.0
FPGAcodeforElphelNC393camera
ram18p_lt32w_32r Module Reference
Inheritance diagram for ram18p_lt32w_32r:
Collaboration diagram for ram18p_lt32w_32r:

Public Attributes

Inputs

rclk  
raddr   [ 8 : 0 ]
ren  
regen  
wclk  
waddr   [ 13 -LOG2WIDTH_WR: 0 ]
we  
web   [ 3 : 0 ]
data_in   [ 9 << LOG2WIDTH_WR- 3 - 1 : 0 ]

Outputs

data_out   [ 35 : 0 ]

Parameters

REGISTERS  integer 0
11719  
PWIDTH_WR  (LOG2WIDTH_WR > 2 )? ( 9 << (LOG2WIDTH_WR - 3 )): ( 1 << LOG2WIDTH_WR
PWIDTH_RD   36
WIDTH_WR   1 << LOG2WIDTH_WR
WIDTH_WRP   1 << (LOG2WIDTH_WR- 3

Includes

ram18_declare_init.vh
ram18_pass_init.vh

Signals

wire[WIDTH_WR + 15 : 0 ]  data_in_ext
wire[ 15 : 0 ]  data_in16
wire[WIDTH_WRP + 1 : 0 ]  datap_in_ext
wire[ 1 : 0 ]  datap_in2

Module Instances

RAMB18E1::RAMB36E1_i   Module RAMB18E1

Detailed Description

Definition at line 360 of file ram18p_var_w_var_r.v.

Member Data Documentation

REGISTERS 0
Parameter

Definition at line 362 of file ram18p_var_w_var_r.v.

11719
Parameter

Definition at line 363 of file ram18p_var_w_var_r.v.

rclk
Input

Definition at line 370 of file ram18p_var_w_var_r.v.

raddr [ 8 : 0 ]
Input

Definition at line 371 of file ram18p_var_w_var_r.v.

ren
Input

Definition at line 372 of file ram18p_var_w_var_r.v.

regen
Input

Definition at line 373 of file ram18p_var_w_var_r.v.

data_out [ 35 : 0 ]
Output

Definition at line 374 of file ram18p_var_w_var_r.v.

wclk
Input

Definition at line 376 of file ram18p_var_w_var_r.v.

waddr [ 13 -LOG2WIDTH_WR: 0 ]
Input

Definition at line 377 of file ram18p_var_w_var_r.v.

we
Input

Definition at line 378 of file ram18p_var_w_var_r.v.

web [ 3 : 0 ]
Input

Definition at line 379 of file ram18p_var_w_var_r.v.

data_in [ 9 << LOG2WIDTH_WR- 3 - 1 : 0 ]
Input

Definition at line 380 of file ram18p_var_w_var_r.v.

PWIDTH_WR (LOG2WIDTH_WR > 2 )? ( 9 << (LOG2WIDTH_WR - 3 )): ( 1 << LOG2WIDTH_WR
Parameter

Definition at line 383 of file ram18p_var_w_var_r.v.

PWIDTH_RD 36
Parameter

Definition at line 384 of file ram18p_var_w_var_r.v.

WIDTH_WR 1 << LOG2WIDTH_WR
Parameter

Definition at line 385 of file ram18p_var_w_var_r.v.

WIDTH_WRP 1 << (LOG2WIDTH_WR- 3
Parameter

Definition at line 386 of file ram18p_var_w_var_r.v.

data_in_ext
Signal

Definition at line 388 of file ram18p_var_w_var_r.v.

data_in16
Signal

Definition at line 389 of file ram18p_var_w_var_r.v.

datap_in_ext
Signal

Definition at line 391 of file ram18p_var_w_var_r.v.

datap_in2
Signal

Definition at line 392 of file ram18p_var_w_var_r.v.

ram18_declare_init.vh include
Include

Definition at line 366 of file ram18p_var_w_var_r.v.

ram18_pass_init.vh include
Include

Definition at line 412 of file ram18p_var_w_var_r.v.

RAMB18E1 RAMB36E1_i
Module Instance

Definition at line 394 of file ram18p_var_w_var_r.v.


The documentation for this Module was generated from the following files: