x393  1.0
FPGAcodeforElphelNC393camera
ram18p_32w_32r Module Reference
Inheritance diagram for ram18p_32w_32r:
Collaboration diagram for ram18p_32w_32r:

Public Attributes

Inputs

rclk  
raddr   [ 8 : 0 ]
ren  
regen  
wclk  
waddr   [ 8 : 0 ]
we  
web   [ 3 : 0 ]
data_in   [ 35 : 0 ]

Outputs

data_out   [ 35 : 0 ]

Parameters

11680  
PWIDTH_WR   36
PWIDTH_RD   36

Includes

ram18_declare_init.vh
ram18_pass_init.vh

Module Instances

RAMB18E1::RAMB36E1_i   Module RAMB18E1

Detailed Description

Definition at line 194 of file ram18p_var_w_var_r.v.

Member Data Documentation

11680
Parameter

Definition at line 196 of file ram18p_var_w_var_r.v.

rclk
Input

Definition at line 203 of file ram18p_var_w_var_r.v.

raddr [ 8 : 0 ]
Input

Definition at line 204 of file ram18p_var_w_var_r.v.

ren
Input

Definition at line 205 of file ram18p_var_w_var_r.v.

regen
Input

Definition at line 206 of file ram18p_var_w_var_r.v.

data_out [ 35 : 0 ]
Output

Definition at line 207 of file ram18p_var_w_var_r.v.

wclk
Input

Definition at line 209 of file ram18p_var_w_var_r.v.

waddr [ 8 : 0 ]
Input

Definition at line 210 of file ram18p_var_w_var_r.v.

we
Input

Definition at line 211 of file ram18p_var_w_var_r.v.

web [ 3 : 0 ]
Input

Definition at line 212 of file ram18p_var_w_var_r.v.

data_in [ 35 : 0 ]
Input

Definition at line 213 of file ram18p_var_w_var_r.v.

PWIDTH_WR 36
Parameter

Definition at line 215 of file ram18p_var_w_var_r.v.

PWIDTH_RD 36
Parameter

Definition at line 216 of file ram18p_var_w_var_r.v.

ram18_declare_init.vh include
Include

Definition at line 199 of file ram18p_var_w_var_r.v.

ram18_pass_init.vh include
Include

Definition at line 236 of file ram18p_var_w_var_r.v.

RAMB18E1 RAMB36E1_i
Module Instance

Definition at line 218 of file ram18p_var_w_var_r.v.


The documentation for this Module was generated from the following files: