x393  1.0
FPGAcodeforElphelNC393camera
gpio393 Module Reference
Inheritance diagram for gpio393:
Collaboration diagram for gpio393:

Static Public Member Functions

Always Constructs

ALWAYS_514  ( mclk )

Public Attributes

Inputs

mclk  
mrst  
cmd_ad   [ 7 : 0 ]
cmd_stb  
status_start  
da   [GPIO_N - 1 : 0 ]
da_en   [GPIO_N - 1 : 0 ]
db   [GPIO_N - 1 : 0 ]
db_en   [GPIO_N - 1 : 0 ]
dc   [GPIO_N - 1 : 0 ]
dc_en   [GPIO_N - 1 : 0 ]

Inouts

ext_pins   [GPIO_N - 1 : 0 ]

Outputs

status_ad   [ 7 : 0 ]
status_rq  
io_pins   [GPIO_N - 1 : 0 ]

Parameters

GPIO_ADDR  'h700
GPIO_MASK  'h7fe
GPIO_STATUS_REG_ADDR  'h30
GPIO_DRIVE  integer 12
GPIO_IBUF_LOW_PWR  "TRUE"
GPIO_IOSTANDARD  "DEFAULT"
GPIO_SLEW  "SLOW"
GPIO_SET_PINS   0
GPIO_SET_STATUS   1
GPIO_N   10
GPIO_PORTEN   24

GENERATE

GENERATE [161]  

Signals

wire[GPIO_N - 1 : 0 ]  ds
wire[GPIO_N - 1 : 0 ]  ds_en
reg[ 3 : 0 ]  ch_en
wire[ 31 : 0 ]  cmd_data
wire  cmd_a
wire  cmd_we
wire  set_mode_w
wire  set_status_w
wire[GPIO_N - 1 : 0 ]  ds_en_m
wire[GPIO_N - 1 : 0 ]  da_en_m
wire[GPIO_N - 1 : 0 ]  db_en_m
wire[GPIO_N - 1 : 0 ]  dc_en_m
wire[GPIO_N - 1 : 0 ]  io_t
wire[GPIO_N - 1 : 0 ]  io_do

Module Instances

gpio_bit::gpio_bit_i   Module gpio_bit [generate]
iobuf::iobuf_gpio_i   Module iobuf [generate]
cmd_deser::cmd_deser_32bit_i   Module cmd_deser
status_generate::status_generate_i   Module status_generate

Detailed Description

Definition at line 70 of file gpio393.v.

Member Function Documentation

ALWAYS_514 (   mclk  
)
Always Construct

Definition at line 146 of file gpio393.v.

Member Data Documentation

GPIO_ADDR 'h700
Parameter

Definition at line 71 of file gpio393.v.

GPIO_MASK 'h7fe
Parameter

Definition at line 72 of file gpio393.v.

GPIO_STATUS_REG_ADDR 'h30
Parameter

Definition at line 73 of file gpio393.v.

GPIO_DRIVE 12
Parameter

Definition at line 75 of file gpio393.v.

GPIO_IBUF_LOW_PWR "TRUE"
Parameter

Definition at line 76 of file gpio393.v.

GPIO_IOSTANDARD "DEFAULT"
Parameter

Definition at line 77 of file gpio393.v.

GPIO_SLEW "SLOW"
Parameter

Definition at line 78 of file gpio393.v.

GPIO_SET_PINS 0
Parameter

Definition at line 80 of file gpio393.v.

GPIO_SET_STATUS 1
Parameter

Definition at line 81 of file gpio393.v.

GPIO_N 10
Parameter

Definition at line 82 of file gpio393.v.

GPIO_PORTEN 24
Parameter

Definition at line 83 of file gpio393.v.

mclk
Input

Definition at line 87 of file gpio393.v.

mrst
Input

Definition at line 88 of file gpio393.v.

cmd_ad [ 7 : 0 ]
Input

Definition at line 89 of file gpio393.v.

cmd_stb
Input

Definition at line 90 of file gpio393.v.

status_ad [ 7 : 0 ]
Output

Definition at line 92 of file gpio393.v.

status_rq
Output

Definition at line 93 of file gpio393.v.

status_start
Input

Definition at line 94 of file gpio393.v.

ext_pins [GPIO_N - 1 : 0 ]
Inout

Definition at line 96 of file gpio393.v.

io_pins [GPIO_N - 1 : 0 ]
Output

Definition at line 97 of file gpio393.v.

da [GPIO_N - 1 : 0 ]
Input

Definition at line 99 of file gpio393.v.

da_en [GPIO_N - 1 : 0 ]
Input

Definition at line 100 of file gpio393.v.

db [GPIO_N - 1 : 0 ]
Input

Definition at line 102 of file gpio393.v.

db_en [GPIO_N - 1 : 0 ]
Input

Definition at line 103 of file gpio393.v.

dc [GPIO_N - 1 : 0 ]
Input

Definition at line 105 of file gpio393.v.

dc_en [GPIO_N - 1 : 0 ]
Input

Definition at line 106 of file gpio393.v.

ds
Signal

Definition at line 108 of file gpio393.v.

ds_en
Signal

Definition at line 109 of file gpio393.v.

ch_en
Signal

Definition at line 110 of file gpio393.v.

cmd_data
Signal

Definition at line 112 of file gpio393.v.

cmd_a
Signal

Definition at line 113 of file gpio393.v.

cmd_we
Signal

Definition at line 114 of file gpio393.v.

set_mode_w
Signal

Definition at line 116 of file gpio393.v.

set_status_w
Signal

Definition at line 117 of file gpio393.v.

ds_en_m
Signal

Definition at line 119 of file gpio393.v.

da_en_m
Signal

Definition at line 120 of file gpio393.v.

db_en_m
Signal

Definition at line 121 of file gpio393.v.

dc_en_m
Signal

Definition at line 122 of file gpio393.v.

io_t
Signal

Definition at line 124 of file gpio393.v.

io_do
Signal

Definition at line 125 of file gpio393.v.

cmd_deser cmd_deser_32bit_i
Module Instance

Definition at line 189 of file gpio393.v.

GENERATE [161]
GENERATE

Definition at line 161 of file gpio393.v.

gpio_bit gpio_bit_i
Module Instance

Definition at line 164 of file gpio393.v.

iobuf iobuf_gpio_i
Module Instance

Definition at line 173 of file gpio393.v.

status_generate status_generate_i
Module Instance

Definition at line 206 of file gpio393.v.


The documentation for this Module was generated from the following files: