x393  1.0
FPGAcodeforElphelNC393camera
cmd_seq_mux Module Reference
Inheritance diagram for cmd_seq_mux:
Collaboration diagram for cmd_seq_mux:

Static Public Member Functions

Always Constructs

ALWAYS_494  ( mclk )
ALWAYS_495  ( mclk )

Public Attributes

Inputs

mrst  
mclk  
cmd_ad   [ 7 : 0 ]
cmd_stb  
status_start  
frame_num0   [ 3 : 0 ]
waddr0   [AXI_WR_ADDR_BITS - 1 : 0 ]
wr_en0  
wdata0   [ 31 : 0 ]
is0  
im0  
frame_num1   [ 3 : 0 ]
waddr1   [AXI_WR_ADDR_BITS - 1 : 0 ]
wr_en1  
wdata1   [ 31 : 0 ]
is1  
im1  
frame_num2   [ 3 : 0 ]
waddr2   [AXI_WR_ADDR_BITS - 1 : 0 ]
wr_en2  
wdata2   [ 31 : 0 ]
is2  
im2  
frame_num3   [ 3 : 0 ]
waddr3   [AXI_WR_ADDR_BITS - 1 : 0 ]
wr_en3  
wdata3   [ 31 : 0 ]
is3  
im3  
ackn_out  

Outputs

status_ad   [ 7 : 0 ]
status_rq  
ackn0  
ackn1  
ackn2  
ackn3  
waddr_out   reg [AXI_WR_ADDR_BITS - 1 : 0 ]
wr_en_out  
wdata_out   reg [ 31 : 0 ]

Parameters

CMDSEQMUX_ADDR  'h702
CMDSEQMUX_MASK  'h7ff
CMDSEQMUX_STATUS  'h38
AXI_WR_ADDR_BITS   14

Signals

wire[ 3 : 0 ]  wr_en
wire[ 15 : 0 ]  pri_one_rr
wire[ 3 : 0 ]  pri_one
reg[ 1 : 0 ]  chn_r
wire  rq_any
wire[ 1 : 0 ]  pri_enc_w
reg  full_r
wire  ackn_w
reg[ 3 : 0 ]  ackn_r
wire[ 3 : 0 ]  is
wire[ 3 : 0 ]  im
wire[ 7 : 0 ]  cmd_data
wire  cmd_status

Module Instances

cmd_deser::cmd_deser_32bit_i   Module cmd_deser
status_generate::status_generate_cmd_seq_mux_i   Module status_generate

Detailed Description

Definition at line 42 of file cmd_seq_mux.v.

Member Function Documentation

ALWAYS_494 (   mclk  
)
Always Construct

Definition at line 122 of file cmd_seq_mux.v.

ALWAYS_495 (   mclk  
)
Always Construct

Definition at line 134 of file cmd_seq_mux.v.

Member Data Documentation

CMDSEQMUX_ADDR 'h702
Parameter

Definition at line 43 of file cmd_seq_mux.v.

CMDSEQMUX_MASK 'h7ff
Parameter

Definition at line 44 of file cmd_seq_mux.v.

CMDSEQMUX_STATUS 'h38
Parameter

Definition at line 45 of file cmd_seq_mux.v.

AXI_WR_ADDR_BITS 14
Parameter

Definition at line 46 of file cmd_seq_mux.v.

mrst
Input

Definition at line 48 of file cmd_seq_mux.v.

mclk
Input

Definition at line 49 of file cmd_seq_mux.v.

cmd_ad [ 7 : 0 ]
Input

Definition at line 51 of file cmd_seq_mux.v.

cmd_stb
Input

Definition at line 52 of file cmd_seq_mux.v.

status_ad [ 7 : 0 ]
Output

Definition at line 53 of file cmd_seq_mux.v.

status_rq
Output

Definition at line 54 of file cmd_seq_mux.v.

status_start
Input

Definition at line 55 of file cmd_seq_mux.v.

frame_num0 [ 3 : 0 ]
Input

Definition at line 58 of file cmd_seq_mux.v.

waddr0 [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 59 of file cmd_seq_mux.v.

wr_en0
Input

Definition at line 60 of file cmd_seq_mux.v.

wdata0 [ 31 : 0 ]
Input

Definition at line 61 of file cmd_seq_mux.v.

ackn0
Output

Definition at line 62 of file cmd_seq_mux.v.

is0
Input

Definition at line 63 of file cmd_seq_mux.v.

im0
Input

Definition at line 64 of file cmd_seq_mux.v.

frame_num1 [ 3 : 0 ]
Input

Definition at line 66 of file cmd_seq_mux.v.

waddr1 [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 67 of file cmd_seq_mux.v.

wr_en1
Input

Definition at line 68 of file cmd_seq_mux.v.

wdata1 [ 31 : 0 ]
Input

Definition at line 69 of file cmd_seq_mux.v.

ackn1
Output

Definition at line 70 of file cmd_seq_mux.v.

is1
Input

Definition at line 71 of file cmd_seq_mux.v.

im1
Input

Definition at line 72 of file cmd_seq_mux.v.

frame_num2 [ 3 : 0 ]
Input

Definition at line 74 of file cmd_seq_mux.v.

waddr2 [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 75 of file cmd_seq_mux.v.

wr_en2
Input

Definition at line 76 of file cmd_seq_mux.v.

wdata2 [ 31 : 0 ]
Input

Definition at line 77 of file cmd_seq_mux.v.

ackn2
Output

Definition at line 78 of file cmd_seq_mux.v.

is2
Input

Definition at line 79 of file cmd_seq_mux.v.

im2
Input

Definition at line 80 of file cmd_seq_mux.v.

frame_num3 [ 3 : 0 ]
Input

Definition at line 82 of file cmd_seq_mux.v.

waddr3 [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 83 of file cmd_seq_mux.v.

wr_en3
Input

Definition at line 84 of file cmd_seq_mux.v.

wdata3 [ 31 : 0 ]
Input

Definition at line 85 of file cmd_seq_mux.v.

ackn3
Output

Definition at line 86 of file cmd_seq_mux.v.

is3
Input

Definition at line 87 of file cmd_seq_mux.v.

im3
Input

Definition at line 88 of file cmd_seq_mux.v.

waddr_out reg [AXI_WR_ADDR_BITS - 1 : 0 ]
Output

Definition at line 90 of file cmd_seq_mux.v.

wr_en_out
Output

Definition at line 91 of file cmd_seq_mux.v.

wdata_out reg [ 31 : 0 ]
Output

Definition at line 92 of file cmd_seq_mux.v.

ackn_out
Input

Definition at line 93 of file cmd_seq_mux.v.

wr_en
Signal

Definition at line 95 of file cmd_seq_mux.v.

pri_one_rr
Signal

Definition at line 96 of file cmd_seq_mux.v.

pri_one
Signal

Definition at line 97 of file cmd_seq_mux.v.

chn_r
Signal

Definition at line 98 of file cmd_seq_mux.v.

rq_any
Signal

Definition at line 99 of file cmd_seq_mux.v.

pri_enc_w
Signal

Definition at line 100 of file cmd_seq_mux.v.

full_r
Signal

Definition at line 101 of file cmd_seq_mux.v.

ackn_w
Signal

Definition at line 102 of file cmd_seq_mux.v.

ackn_r
Signal

Definition at line 103 of file cmd_seq_mux.v.

is
Signal

Definition at line 105 of file cmd_seq_mux.v.

im
Signal

Definition at line 106 of file cmd_seq_mux.v.

cmd_data
Signal

Definition at line 161 of file cmd_seq_mux.v.

cmd_status
Signal

Definition at line 162 of file cmd_seq_mux.v.

cmd_deser cmd_deser_32bit_i
Module Instance

Definition at line 163 of file cmd_seq_mux.v.

status_generate status_generate_cmd_seq_mux_i
Module Instance

Definition at line 181 of file cmd_seq_mux.v.


The documentation for this Module was generated from the following files: