x393  1.0
FPGAcodeforElphelNC393camera
cmd_readback Module Reference
Inheritance diagram for cmd_readback:

Static Public Member Functions

Always Constructs

ALWAYS_489  ( axi_clk )
ALWAYS_490  ( axi_clk )
ALWAYS_491  ( mclk )
ALWAYS_492  ( mclk )
ALWAYS_493  ( mclk )

Public Attributes

Inputs

mrst  
arst  
mclk  
axi_clk  
par_waddr   [AXI_WR_ADDR_BITS - 1 : 0 ]
par_data   [ 31 : 0 ]
ad_stb  
axird_pre_araddr   [AXI_RD_ADDR_BITS - 1 : 0 ]
axird_start_burst  
axird_raddr   [CONTROL_RBACK_DEPTH - 1 : 0 ]
axird_ren  

Outputs

axird_rdata   [ 31 : 0 ]
axird_selected  

Parameters

AXI_WR_ADDR_BITS   14
AXI_RD_ADDR_BITS   14
CONTROL_RBACK_DEPTH   11
CONTROL_ADDR  'h0000
CONTROL_ADDR_MASK  'h3800
CONTROL_RBACK_ADDR  'h0000
CONTROL_RBACK_ADDR_MASK  'h3800
DATA_2DEPTH  integer (1<<CONTROL_RBACK_DEPTH)- 1

Signals

reg[ 31 : 0 ]  ram [ 0 :DATA_2DEPTH ]
reg[CONTROL_RBACK_DEPTH - 1 : 0 ]  waddr
reg  we
reg[ 31 : 0 ]  wdata
wire  select_w
reg  select_r
reg  select_d
wire  rd
wire  regen
reg[ 31 : 0 ]  axi_rback_rdata
reg[ 31 : 0 ]  axi_rback_rdata_r
reg  axird_regen
wire  we_w

Detailed Description

Definition at line 41 of file cmd_readback.v.

Member Function Documentation

ALWAYS_489 (   axi_clk  
)
Always Construct

Definition at line 93 of file cmd_readback.v.

ALWAYS_490 (   axi_clk  
)
Always Construct

Definition at line 101 of file cmd_readback.v.

ALWAYS_491 (   mclk  
)
Always Construct

Definition at line 107 of file cmd_readback.v.

ALWAYS_492 (   mclk  
)
Always Construct

Definition at line 111 of file cmd_readback.v.

ALWAYS_493 (   mclk  
)
Always Construct

Definition at line 117 of file cmd_readback.v.

Member Data Documentation

AXI_WR_ADDR_BITS 14
Parameter

Definition at line 42 of file cmd_readback.v.

AXI_RD_ADDR_BITS 14
Parameter

Definition at line 43 of file cmd_readback.v.

CONTROL_RBACK_DEPTH 11
Parameter

Definition at line 44 of file cmd_readback.v.

CONTROL_ADDR 'h0000
Parameter

Definition at line 46 of file cmd_readback.v.

CONTROL_ADDR_MASK 'h3800
Parameter

Definition at line 47 of file cmd_readback.v.

CONTROL_RBACK_ADDR 'h0000
Parameter

Definition at line 48 of file cmd_readback.v.

CONTROL_RBACK_ADDR_MASK 'h3800
Parameter

Definition at line 49 of file cmd_readback.v.

mrst
Input

Definition at line 51 of file cmd_readback.v.

arst
Input

Definition at line 52 of file cmd_readback.v.

mclk
Input

Definition at line 53 of file cmd_readback.v.

axi_clk
Input

Definition at line 54 of file cmd_readback.v.

par_waddr [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 55 of file cmd_readback.v.

par_data [ 31 : 0 ]
Input

Definition at line 56 of file cmd_readback.v.

ad_stb
Input

Definition at line 57 of file cmd_readback.v.

Definition at line 59 of file cmd_readback.v.

Definition at line 60 of file cmd_readback.v.

axird_raddr [CONTROL_RBACK_DEPTH - 1 : 0 ]
Input

Definition at line 61 of file cmd_readback.v.

axird_ren
Input

Definition at line 62 of file cmd_readback.v.

axird_rdata [ 31 : 0 ]
Output

Definition at line 64 of file cmd_readback.v.

Definition at line 65 of file cmd_readback.v.

DATA_2DEPTH (1<<CONTROL_RBACK_DEPTH)- 1
Parameter

Definition at line 68 of file cmd_readback.v.

ram [ 0 :DATA_2DEPTH ]
Signal

Definition at line 69 of file cmd_readback.v.

waddr
Signal

Definition at line 70 of file cmd_readback.v.

we
Signal

Definition at line 71 of file cmd_readback.v.

wdata
Signal

Definition at line 72 of file cmd_readback.v.

select_w
Signal

Definition at line 74 of file cmd_readback.v.

select_r
Signal

Definition at line 75 of file cmd_readback.v.

select_d
Signal

Definition at line 76 of file cmd_readback.v.

rd
Signal

Definition at line 78 of file cmd_readback.v.

regen
Signal

Definition at line 79 of file cmd_readback.v.

Definition at line 80 of file cmd_readback.v.

Definition at line 81 of file cmd_readback.v.

axird_regen
Signal

Definition at line 82 of file cmd_readback.v.

we_w
Signal

Definition at line 83 of file cmd_readback.v.


The documentation for this Module was generated from the following files: