x393  1.0
FPGAcodeforElphelNC393camera
cmd_mux Module Reference
Inheritance diagram for cmd_mux:
Collaboration diagram for cmd_mux:

Static Public Member Functions

Always Constructs

ALWAYS_482  ( axi_clk )
ALWAYS_483  ( ** )
ALWAYS_484  ( mclk )
ALWAYS_485  ( mclk )
ALWAYS_486  ( mclk )
ALWAYS_487  ( mclk )
ALWAYS_488  ( mclk )

Public Attributes

Inputs

axi_clk  
mclk  
mrst  
arst  
pre_waddr   [AXI_WR_ADDR_BITS - 1 : 0 ]
start_wburst  
waddr   [AXI_WR_ADDR_BITS - 1 : 0 ]
wr_en  
wdata   [ 31 : 0 ]
cseq_waddr   [AXI_WR_ADDR_BITS - 1 : 0 ]
cseq_wr_en  
cseq_wdata   [ 31 : 0 ]

Outputs

busy  
cseq_ackn  
par_waddr   [AXI_WR_ADDR_BITS - 1 : 0 ]
par_data   [ 31 : 0 ]
byte_ad   [ 7 : 0 ]
ad_stb  

Parameters

AXI_WR_ADDR_BITS   14
CONTROL_ADDR  'h0000
CONTROL_ADDR_MASK  'h3800
NUM_CYCLES_LOW_BIT   6
NUM_CYCLES_00   2
NUM_CYCLES_01   4
NUM_CYCLES_02   3
NUM_CYCLES_03   3
NUM_CYCLES_04   6
NUM_CYCLES_05   6
NUM_CYCLES_06   4
NUM_CYCLES_07   4
NUM_CYCLES_08   6
NUM_CYCLES_09   6
NUM_CYCLES_10   6
NUM_CYCLES_11   6
NUM_CYCLES_12   6
NUM_CYCLES_13   5
NUM_CYCLES_14   6
NUM_CYCLES_15   9
NUM_CYCLES_16   6
NUM_CYCLES_17   6
NUM_CYCLES_18   6
NUM_CYCLES_19   6
NUM_CYCLES_20   6
NUM_CYCLES_21   6
NUM_CYCLES_22   6
NUM_CYCLES_23   6
NUM_CYCLES_24   6
NUM_CYCLES_25   6
NUM_CYCLES_26   6
NUM_CYCLES_27   6
NUM_CYCLES_28   6
NUM_CYCLES_29   6
NUM_CYCLES_30   6
NUM_CYCLES_31   6

Signals

reg  busy_r
reg  selected
wire  fifo_half_empty
wire  selected_w
wire  ss
reg[ 47 : 0 ]  par_ad
reg  ad_stb_r
reg  cmdseq_full_r
reg[AXI_WR_ADDR_BITS - 1 : 0 ]  cseq_waddr_r
reg[ 31 : 0 ]  cseq_wdata_r
reg[ 3 : 0 ]  seq_length
reg[ 4 : 0 ]  seq_busy_r
wire[ 4 : 0 ]  seq_length_rom_a
wire  can_start_w
wire  start_w
wire  start_axi_w
wire  fifo_nempty
wire[AXI_WR_ADDR_BITS - 1 : 0 ]  waddr_fifo_out
wire[ 31 : 0 ]  wdata_fifo_out

Module Instances

fifo_cross_clocks::fifo_cross_clocks_i   Module fifo_cross_clocks

Detailed Description

Definition at line 41 of file cmd_mux.v.

Member Function Documentation

ALWAYS_482 (   axi_clk  
)
Always Construct

Definition at line 136 of file cmd_mux.v.

ALWAYS_483 (   *---  
)
Always Construct

Definition at line 145 of file cmd_mux.v.

ALWAYS_484 (   mclk  
)
Always Construct

Definition at line 180 of file cmd_mux.v.

ALWAYS_485 (   mclk  
)
Always Construct

Definition at line 199 of file cmd_mux.v.

ALWAYS_486 (   mclk  
)
Always Construct

Definition at line 203 of file cmd_mux.v.

ALWAYS_487 (   mclk  
)
Always Construct

Definition at line 210 of file cmd_mux.v.

ALWAYS_488 (   mclk  
)
Always Construct

Definition at line 214 of file cmd_mux.v.

Member Data Documentation

AXI_WR_ADDR_BITS 14
Parameter

Definition at line 42 of file cmd_mux.v.

CONTROL_ADDR 'h0000
Parameter

Definition at line 43 of file cmd_mux.v.

CONTROL_ADDR_MASK 'h3800
Parameter

Definition at line 44 of file cmd_mux.v.

NUM_CYCLES_LOW_BIT 6
Parameter

Definition at line 45 of file cmd_mux.v.

NUM_CYCLES_00 2
Parameter

Definition at line 46 of file cmd_mux.v.

NUM_CYCLES_01 4
Parameter

Definition at line 47 of file cmd_mux.v.

NUM_CYCLES_02 3
Parameter

Definition at line 48 of file cmd_mux.v.

NUM_CYCLES_03 3
Parameter

Definition at line 49 of file cmd_mux.v.

NUM_CYCLES_04 6
Parameter

Definition at line 50 of file cmd_mux.v.

NUM_CYCLES_05 6
Parameter

Definition at line 51 of file cmd_mux.v.

NUM_CYCLES_06 4
Parameter

Definition at line 52 of file cmd_mux.v.

NUM_CYCLES_07 4
Parameter

Definition at line 53 of file cmd_mux.v.

NUM_CYCLES_08 6
Parameter

Definition at line 54 of file cmd_mux.v.

NUM_CYCLES_09 6
Parameter

Definition at line 55 of file cmd_mux.v.

NUM_CYCLES_10 6
Parameter

Definition at line 56 of file cmd_mux.v.

NUM_CYCLES_11 6
Parameter

Definition at line 57 of file cmd_mux.v.

NUM_CYCLES_12 6
Parameter

Definition at line 58 of file cmd_mux.v.

NUM_CYCLES_13 5
Parameter

Definition at line 59 of file cmd_mux.v.

NUM_CYCLES_14 6
Parameter

Definition at line 60 of file cmd_mux.v.

NUM_CYCLES_15 9
Parameter

Definition at line 61 of file cmd_mux.v.

NUM_CYCLES_16 6
Parameter

Definition at line 62 of file cmd_mux.v.

NUM_CYCLES_17 6
Parameter

Definition at line 63 of file cmd_mux.v.

NUM_CYCLES_18 6
Parameter

Definition at line 64 of file cmd_mux.v.

NUM_CYCLES_19 6
Parameter

Definition at line 65 of file cmd_mux.v.

NUM_CYCLES_20 6
Parameter

Definition at line 66 of file cmd_mux.v.

NUM_CYCLES_21 6
Parameter

Definition at line 67 of file cmd_mux.v.

NUM_CYCLES_22 6
Parameter

Definition at line 68 of file cmd_mux.v.

NUM_CYCLES_23 6
Parameter

Definition at line 69 of file cmd_mux.v.

NUM_CYCLES_24 6
Parameter

Definition at line 70 of file cmd_mux.v.

NUM_CYCLES_25 6
Parameter

Definition at line 71 of file cmd_mux.v.

NUM_CYCLES_26 6
Parameter

Definition at line 72 of file cmd_mux.v.

NUM_CYCLES_27 6
Parameter

Definition at line 73 of file cmd_mux.v.

NUM_CYCLES_28 6
Parameter

Definition at line 74 of file cmd_mux.v.

NUM_CYCLES_29 6
Parameter

Definition at line 75 of file cmd_mux.v.

NUM_CYCLES_30 6
Parameter

Definition at line 76 of file cmd_mux.v.

NUM_CYCLES_31 6
Parameter

Definition at line 77 of file cmd_mux.v.

axi_clk
Input

Definition at line 80 of file cmd_mux.v.

mclk
Input

Definition at line 81 of file cmd_mux.v.

mrst
Input

Definition at line 82 of file cmd_mux.v.

arst
Input

Definition at line 83 of file cmd_mux.v.

pre_waddr [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 85 of file cmd_mux.v.

start_wburst
Input

Definition at line 86 of file cmd_mux.v.

waddr [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 87 of file cmd_mux.v.

wr_en
Input

Definition at line 88 of file cmd_mux.v.

wdata [ 31 : 0 ]
Input

Definition at line 89 of file cmd_mux.v.

busy
Output

Definition at line 90 of file cmd_mux.v.

cseq_waddr [AXI_WR_ADDR_BITS - 1 : 0 ]
Input

Definition at line 93 of file cmd_mux.v.

cseq_wr_en
Input

Definition at line 94 of file cmd_mux.v.

cseq_wdata [ 31 : 0 ]
Input

Definition at line 95 of file cmd_mux.v.

cseq_ackn
Output

Definition at line 96 of file cmd_mux.v.

par_waddr [AXI_WR_ADDR_BITS - 1 : 0 ]
Output

Definition at line 98 of file cmd_mux.v.

par_data [ 31 : 0 ]
Output

Definition at line 99 of file cmd_mux.v.

byte_ad [ 7 : 0 ]
Output

Definition at line 100 of file cmd_mux.v.

ad_stb
Output

Definition at line 101 of file cmd_mux.v.

busy_r
Signal

Definition at line 104 of file cmd_mux.v.

selected
Signal

Definition at line 105 of file cmd_mux.v.

Definition at line 106 of file cmd_mux.v.

selected_w
Signal

Definition at line 107 of file cmd_mux.v.

ss
Signal

Definition at line 108 of file cmd_mux.v.

par_ad
Signal

Definition at line 109 of file cmd_mux.v.

ad_stb_r
Signal

Definition at line 110 of file cmd_mux.v.

cmdseq_full_r
Signal

Definition at line 111 of file cmd_mux.v.

cseq_waddr_r
Signal

Definition at line 112 of file cmd_mux.v.

cseq_wdata_r
Signal

Definition at line 113 of file cmd_mux.v.

seq_length
Signal

Definition at line 114 of file cmd_mux.v.

seq_busy_r
Signal

Definition at line 115 of file cmd_mux.v.

Definition at line 116 of file cmd_mux.v.

can_start_w
Signal

Definition at line 118 of file cmd_mux.v.

start_w
Signal

Definition at line 119 of file cmd_mux.v.

start_axi_w
Signal

Definition at line 120 of file cmd_mux.v.

fifo_nempty
Signal

Definition at line 121 of file cmd_mux.v.

Definition at line 122 of file cmd_mux.v.

Definition at line 123 of file cmd_mux.v.

fifo_cross_clocks fifo_cross_clocks_i
Module Instance

Definition at line 222 of file cmd_mux.v.


The documentation for this Module was generated from the following files: