x393  1.0
FPGAcodeforElphelNC393camera
cmd_encod_tiled_32_wr Module Reference
Inheritance diagram for cmd_encod_tiled_32_wr:
Collaboration diagram for cmd_encod_tiled_32_wr:

Static Public Member Functions

Always Constructs

ALWAYS_256  ( clk )
ALWAYS_257  ( clk )
ALWAYS_258  ( clk )
ALWAYS_259  ( clk )

Public Attributes

Inputs

mrst  
clk  
start_bank   [ 2 : 0 ]
start_row   [ADDRESS_NUMBER - 1 : 0 ]
start_col   [COLADDR_NUMBER - 4 : 0 ]
rowcol_inc_in   [FRAME_WIDTH_BITS : 0 ]
num_rows_in_m1   [ 5 : 0 ]
num_cols_in_m1   [ 5 : 0 ]
keep_open_in  
skip_next_page_in  
start  

Outputs

enc_cmd   reg [ 31 : 0 ]
enc_wr   reg
enc_done   reg

Parameters

ADDRESS_NUMBER   15
COLADDR_NUMBER   10
CMD_PAUSE_BITS   10
CMD_DONE_BIT   10
FRAME_WIDTH_BITS   13
WSEL   1 'b0
FULL_ADDR_NUMBER  ADDRESS_NUMBER +COLADDR_NUMBER
ROM_WIDTH   13
ROM_DEPTH   4
ENC_NOP   0
ENC_BUF_RD   1
ENC_DQS_TOGGLE   2
ENC_DQ_DQS_EN   3
ENC_SEL   4
ENC_ODT   5
ENC_CMD_SHIFT   6
ENC_PAUSE_SHIFT   8
ENC_PRE_DONE   10
ENC_BUF_PGNEXT   11
ENC_AUTOPRE   12
ENC_CMD_NOP   0
ENC_CMD_WRITE   1
ENC_CMD_ACTIVATE   2
LOOP_FIRST   6
LOOP_LAST   8
CMD_NOP   0
CMD_WRITE   3
CMD_ACTIVATE   4

Includes

x393_mcontr_encode_cmd.vh

Signals

reg[ADDRESS_NUMBER - 1 : 0 ]  row
reg[COLADDR_NUMBER - 4 : 0 ]  col
reg[ 2 : 0 ]  bank
reg[ 5 : 0 ]  num_rows_m1
reg[ 5 : 0 ]  num_cols128_m1
reg[FRAME_WIDTH_BITS : 0 ]  rowcol_inc
reg  keep_open
reg  skip_next_page
reg  gen_run
reg[ROM_DEPTH - 1 : 0 ]  gen_addr
reg[ROM_WIDTH - 1 : 0 ]  rom_r
wire  pre_done
wire[ 1 : 0 ]  rom_cmd
wire[ 1 : 0 ]  rom_skip
wire[ 2 : 0 ]  full_cmd
reg[FULL_ADDR_NUMBER - 4 : 0 ]  top_rc
reg  first_col
reg  last_col
wire  pre_act
wire  pre_write
reg[ 5 : 0 ]  scan_row
reg[ 5 : 0 ]  scan_col
reg  start_d
wire  last_row
reg[FULL_ADDR_NUMBER - 1 : 0 ]  row_col_bank
wire[COLADDR_NUMBER - 1 : 0 ]  col_bank
wire  enable_act
reg  enable_autopre
wire[ 2 : 0 ]  next_bank_w
wire[ADDRESS_NUMBER +COLADDR_NUMBER - 4 : 0 ]  next_rowcol_w
reg  loop_continue
wire[FULL_ADDR_NUMBER - 1 : 0 ]  row_col_bank_next_w

Module Instances

fifo_2regs::fifo_2regs_i   Module fifo_2regs

Detailed Description

Definition at line 63 of file cmd_encod_tiled_32_wr.v.

Member Function Documentation

ALWAYS_256 (   clk  
)
Always Construct

Definition at line 185 of file cmd_encod_tiled_32_wr.v.

ALWAYS_257 (   clk  
)
Always Construct

Definition at line 238 of file cmd_encod_tiled_32_wr.v.

ALWAYS_258 (   clk  
)
Always Construct

Definition at line 248 of file cmd_encod_tiled_32_wr.v.

ALWAYS_259 (   clk  
)
Always Construct

Definition at line 274 of file cmd_encod_tiled_32_wr.v.

Member Data Documentation

ADDRESS_NUMBER 15
Parameter

Definition at line 64 of file cmd_encod_tiled_32_wr.v.

COLADDR_NUMBER 10
Parameter

Definition at line 65 of file cmd_encod_tiled_32_wr.v.

CMD_PAUSE_BITS 10
Parameter

Definition at line 66 of file cmd_encod_tiled_32_wr.v.

CMD_DONE_BIT 10
Parameter

Definition at line 67 of file cmd_encod_tiled_32_wr.v.

FRAME_WIDTH_BITS 13
Parameter

Definition at line 68 of file cmd_encod_tiled_32_wr.v.

WSEL 1 'b0
Parameter

Definition at line 69 of file cmd_encod_tiled_32_wr.v.

mrst
Input

Definition at line 71 of file cmd_encod_tiled_32_wr.v.

clk
Input

Definition at line 72 of file cmd_encod_tiled_32_wr.v.

start_bank [ 2 : 0 ]
Input

Definition at line 74 of file cmd_encod_tiled_32_wr.v.

start_row [ADDRESS_NUMBER - 1 : 0 ]
Input

Definition at line 75 of file cmd_encod_tiled_32_wr.v.

start_col [COLADDR_NUMBER - 4 : 0 ]
Input

Definition at line 76 of file cmd_encod_tiled_32_wr.v.

Definition at line 77 of file cmd_encod_tiled_32_wr.v.

num_rows_in_m1 [ 5 : 0 ]
Input

Definition at line 79 of file cmd_encod_tiled_32_wr.v.

num_cols_in_m1 [ 5 : 0 ]
Input

Definition at line 80 of file cmd_encod_tiled_32_wr.v.

keep_open_in
Input

Definition at line 81 of file cmd_encod_tiled_32_wr.v.

Definition at line 82 of file cmd_encod_tiled_32_wr.v.

start
Input

Definition at line 83 of file cmd_encod_tiled_32_wr.v.

enc_cmd reg [ 31 : 0 ]
Output

Definition at line 84 of file cmd_encod_tiled_32_wr.v.

enc_wr reg
Output

Definition at line 85 of file cmd_encod_tiled_32_wr.v.

enc_done reg
Output

Definition at line 86 of file cmd_encod_tiled_32_wr.v.

ROM_WIDTH 13
Parameter

Definition at line 89 of file cmd_encod_tiled_32_wr.v.

ROM_DEPTH 4
Parameter

Definition at line 90 of file cmd_encod_tiled_32_wr.v.

ENC_NOP 0
Parameter

Definition at line 91 of file cmd_encod_tiled_32_wr.v.

ENC_BUF_RD 1
Parameter

Definition at line 92 of file cmd_encod_tiled_32_wr.v.

ENC_DQS_TOGGLE 2
Parameter

Definition at line 93 of file cmd_encod_tiled_32_wr.v.

ENC_DQ_DQS_EN 3
Parameter

Definition at line 94 of file cmd_encod_tiled_32_wr.v.

ENC_SEL 4
Parameter

Definition at line 95 of file cmd_encod_tiled_32_wr.v.

ENC_ODT 5
Parameter

Definition at line 96 of file cmd_encod_tiled_32_wr.v.

ENC_CMD_SHIFT 6
Parameter

Definition at line 97 of file cmd_encod_tiled_32_wr.v.

ENC_PAUSE_SHIFT 8
Parameter

Definition at line 98 of file cmd_encod_tiled_32_wr.v.

ENC_PRE_DONE 10
Parameter

Definition at line 99 of file cmd_encod_tiled_32_wr.v.

ENC_BUF_PGNEXT 11
Parameter

Definition at line 100 of file cmd_encod_tiled_32_wr.v.

ENC_AUTOPRE 12
Parameter

Definition at line 101 of file cmd_encod_tiled_32_wr.v.

ENC_CMD_NOP 0
Parameter

Definition at line 103 of file cmd_encod_tiled_32_wr.v.

ENC_CMD_WRITE 1
Parameter

Definition at line 104 of file cmd_encod_tiled_32_wr.v.

ENC_CMD_ACTIVATE 2
Parameter

Definition at line 105 of file cmd_encod_tiled_32_wr.v.

LOOP_FIRST 6
Parameter

Definition at line 107 of file cmd_encod_tiled_32_wr.v.

LOOP_LAST 8
Parameter

Definition at line 108 of file cmd_encod_tiled_32_wr.v.

CMD_NOP 0
Parameter

Definition at line 110 of file cmd_encod_tiled_32_wr.v.

CMD_WRITE 3
Parameter

Definition at line 111 of file cmd_encod_tiled_32_wr.v.

CMD_ACTIVATE 4
Parameter

Definition at line 112 of file cmd_encod_tiled_32_wr.v.

row
Signal

Definition at line 115 of file cmd_encod_tiled_32_wr.v.

col
Signal

Definition at line 116 of file cmd_encod_tiled_32_wr.v.

bank
Signal

Definition at line 117 of file cmd_encod_tiled_32_wr.v.

num_rows_m1
Signal

Definition at line 118 of file cmd_encod_tiled_32_wr.v.

Definition at line 119 of file cmd_encod_tiled_32_wr.v.

rowcol_inc
Signal

Definition at line 120 of file cmd_encod_tiled_32_wr.v.

keep_open
Signal

Definition at line 122 of file cmd_encod_tiled_32_wr.v.

Definition at line 123 of file cmd_encod_tiled_32_wr.v.

gen_run
Signal

Definition at line 124 of file cmd_encod_tiled_32_wr.v.

gen_addr
Signal

Definition at line 126 of file cmd_encod_tiled_32_wr.v.

rom_r
Signal

Definition at line 128 of file cmd_encod_tiled_32_wr.v.

pre_done
Signal

Definition at line 129 of file cmd_encod_tiled_32_wr.v.

rom_cmd
Signal

Definition at line 130 of file cmd_encod_tiled_32_wr.v.

rom_skip
Signal

Definition at line 131 of file cmd_encod_tiled_32_wr.v.

full_cmd
Signal

Definition at line 132 of file cmd_encod_tiled_32_wr.v.

top_rc
Signal

Definition at line 135 of file cmd_encod_tiled_32_wr.v.

first_col
Signal

Definition at line 136 of file cmd_encod_tiled_32_wr.v.

last_col
Signal

Definition at line 137 of file cmd_encod_tiled_32_wr.v.

pre_act
Signal

Definition at line 138 of file cmd_encod_tiled_32_wr.v.

pre_write
Signal

Definition at line 139 of file cmd_encod_tiled_32_wr.v.

scan_row
Signal

Definition at line 140 of file cmd_encod_tiled_32_wr.v.

scan_col
Signal

Definition at line 141 of file cmd_encod_tiled_32_wr.v.

start_d
Signal

Definition at line 142 of file cmd_encod_tiled_32_wr.v.

last_row
Signal

Definition at line 143 of file cmd_encod_tiled_32_wr.v.

row_col_bank
Signal

Definition at line 144 of file cmd_encod_tiled_32_wr.v.

col_bank
Signal

Definition at line 145 of file cmd_encod_tiled_32_wr.v.

enable_act
Signal

Definition at line 147 of file cmd_encod_tiled_32_wr.v.

Definition at line 148 of file cmd_encod_tiled_32_wr.v.

next_bank_w
Signal

Definition at line 150 of file cmd_encod_tiled_32_wr.v.

next_rowcol_w
Signal

Definition at line 151 of file cmd_encod_tiled_32_wr.v.

loop_continue
Signal

Definition at line 153 of file cmd_encod_tiled_32_wr.v.

Definition at line 155 of file cmd_encod_tiled_32_wr.v.

fifo_2regs fifo_2regs_i
Module Instance

Definition at line 324 of file cmd_encod_tiled_32_wr.v.

x393_mcontr_encode_cmd.vh include
Include

Definition at line 335 of file cmd_encod_tiled_32_wr.v.


The documentation for this Module was generated from the following files: