x393  1.0
FPGAcodeforElphelNC393camera
cmd_deser_dual Module Reference
Inheritance diagram for cmd_deser_dual:

Static Public Member Functions

Always Constructs

ALWAYS_477  ( rst or clk )

Public Attributes

Inputs

rst  
clk  
srst  
ad   [ 7 : 0 ]
stb  

Outputs

addr   [ADDR_WIDTH - 1 : 0 ]
data   [DATA_WIDTH - 1 : 0 ]
we   [WE_WIDTH - 1 : 0 ]

Parameters

ADDR   0
ADDR_MASK  'hffff
ADDR_WIDTH   12
DATA_WIDTH   1
ADDR1   0
ADDR_MASK1   0
ADDR2   0
ADDR_MASK2   0
WE_WIDTH   1
WE_EARLY   0
ADDR_LOW  ADDR & 8 'hff
ADDR_HIGH  (ADDR>>8) & 8 'hff
ADDR_MASK_LOW  ADDR_MASK & 8 'hff
ADDR_MASK_HIGH  (ADDR_MASK>>8) & 8 'hff
ADDR_LOW1  ADDR1 & 8 'hff
ADDR_MASK_LOW1  ADDR_MASK1 & 8 'hff
ADDR_LOW2  ADDR2 & 8 'hff
ADDR_MASK_LOW2  ADDR_MASK2 & 8 'hff
ADDR_HIGH1  (ADDR1>>8) & 8 'hff
ADDR_MASK_HIGH1  (ADDR_MASK1>>8) & 8 'hff
ADDR_HIGH2  (ADDR2>>8) & 8 'hff
ADDR_MASK_HIGH2  (ADDR_MASK2>>8) & 8 'hff

Signals

reg[ 15 : 0 ]  deser_r
reg[ 2 : 0 ]  stb_d
wire[ 2 : 0 ]  match_low
wire[ 2 : 0 ]  match_high
wire[ 2 : 0 ]  we3
reg[ 2 : 0 ]  we_r

Detailed Description

Definition at line 186 of file cmd_deser.v.

Member Function Documentation

ALWAYS_477 (   rst or clk  
)
Always Construct

Definition at line 243 of file cmd_deser.v.

Member Data Documentation

ADDR 0
Parameter

Definition at line 187 of file cmd_deser.v.

ADDR_MASK 'hffff
Parameter

Definition at line 188 of file cmd_deser.v.

ADDR_WIDTH 12
Parameter

Definition at line 189 of file cmd_deser.v.

DATA_WIDTH 1
Parameter

Definition at line 190 of file cmd_deser.v.

ADDR1 0
Parameter

Definition at line 191 of file cmd_deser.v.

ADDR_MASK1 0
Parameter

Definition at line 192 of file cmd_deser.v.

ADDR2 0
Parameter

Definition at line 193 of file cmd_deser.v.

ADDR_MASK2 0
Parameter

Definition at line 194 of file cmd_deser.v.

WE_WIDTH 1
Parameter

Definition at line 195 of file cmd_deser.v.

WE_EARLY 0
Parameter

Definition at line 196 of file cmd_deser.v.

rst
Input

Definition at line 198 of file cmd_deser.v.

clk
Input

Definition at line 199 of file cmd_deser.v.

srst
Input

Definition at line 200 of file cmd_deser.v.

ad [ 7 : 0 ]
Input

Definition at line 201 of file cmd_deser.v.

stb
Input

Definition at line 202 of file cmd_deser.v.

addr [ADDR_WIDTH - 1 : 0 ]
Output

Definition at line 203 of file cmd_deser.v.

data [DATA_WIDTH - 1 : 0 ]
Output

Definition at line 204 of file cmd_deser.v.

we [WE_WIDTH - 1 : 0 ]
Output

Definition at line 205 of file cmd_deser.v.

ADDR_LOW ADDR & 8 'hff
Parameter

Definition at line 207 of file cmd_deser.v.

ADDR_HIGH (ADDR>>8) & 8 'hff
Parameter

Definition at line 208 of file cmd_deser.v.

ADDR_MASK_LOW ADDR_MASK & 8 'hff
Parameter

Definition at line 209 of file cmd_deser.v.

ADDR_MASK_HIGH (ADDR_MASK>>8) & 8 'hff
Parameter

Definition at line 210 of file cmd_deser.v.

ADDR_LOW1 ADDR1 & 8 'hff
Parameter

Definition at line 212 of file cmd_deser.v.

ADDR_MASK_LOW1 ADDR_MASK1 & 8 'hff
Parameter

Definition at line 213 of file cmd_deser.v.

ADDR_LOW2 ADDR2 & 8 'hff
Parameter

Definition at line 214 of file cmd_deser.v.

ADDR_MASK_LOW2 ADDR_MASK2 & 8 'hff
Parameter

Definition at line 215 of file cmd_deser.v.

ADDR_HIGH1 (ADDR1>>8) & 8 'hff
Parameter

Definition at line 217 of file cmd_deser.v.

ADDR_MASK_HIGH1 (ADDR_MASK1>>8) & 8 'hff
Parameter

Definition at line 218 of file cmd_deser.v.

ADDR_HIGH2 (ADDR2>>8) & 8 'hff
Parameter

Definition at line 219 of file cmd_deser.v.

ADDR_MASK_HIGH2 (ADDR_MASK2>>8) & 8 'hff
Parameter

Definition at line 220 of file cmd_deser.v.

deser_r
Signal

Definition at line 223 of file cmd_deser.v.

stb_d
Signal

Definition at line 225 of file cmd_deser.v.

match_low
Signal

Definition at line 226 of file cmd_deser.v.

match_high
Signal

Definition at line 227 of file cmd_deser.v.

we3
Signal

Definition at line 229 of file cmd_deser.v.

we_r
Signal

Definition at line 230 of file cmd_deser.v.


The documentation for this Module was generated from the following files: