x393  1.0
FPGAcodeforElphelNC393camera
camsync393 Module Reference
Inheritance diagram for camsync393:
Collaboration diagram for camsync393:

Static Public Member Functions

Always Constructs

ALWAYS_442  ( mclk )
ALWAYS_443  ( pclk )
ALWAYS_444  ( pclk )
ALWAYS_445  ( pclk )
ALWAYS_446  ( pclk )

Public Attributes

Inputs

mclk  
mrst  
cmd_ad   [ 7 : 0 ]
cmd_stb  
pclk  
prst  
gpio_in   [ 9 : 0 ]
frsync_chn0  
frsync_chn1  
frsync_chn2  
frsync_chn3  
ts_snd_stb_chn0  
ts_snd_data_chn0   [ 7 : 0 ]
ts_snd_stb_chn1  
ts_snd_data_chn1   [ 7 : 0 ]
ts_snd_stb_chn2  
ts_snd_data_chn2   [ 7 : 0 ]
ts_snd_stb_chn3  
ts_snd_data_chn3   [ 7 : 0 ]

Outputs

gpio_out   [ 9 : 0 ]
gpio_out_en   [ 9 : 0 ]
triggered_mode  
trig_chn0  
trig_chn1  
trig_chn2  
trig_chn3  
ts_snap_mclk_chn0  
ts_snap_mclk_chn1  
ts_snap_mclk_chn2  
ts_snap_mclk_chn3  
ts_rcv_stb_chn0  
ts_rcv_data_chn0   [ 7 : 0 ]
ts_rcv_stb_chn1  
ts_rcv_data_chn1   [ 7 : 0 ]
ts_rcv_stb_chn2  
ts_rcv_data_chn2   [ 7 : 0 ]
ts_rcv_stb_chn3  
ts_rcv_data_chn3   [ 7 : 0 ]

Parameters

CAMSYNC_ADDR  'h160
CAMSYNC_MASK  'h7f8
CAMSYNC_MODE  'h0
CAMSYNC_TRIG_SRC  'h1
CAMSYNC_TRIG_DST  'h2
CAMSYNC_TRIG_PERIOD  'h3
CAMSYNC_TRIG_DELAY0  'h4
CAMSYNC_TRIG_DELAY1  'h5
CAMSYNC_TRIG_DELAY2  'h6
CAMSYNC_TRIG_DELAY3  'h7
CAMSYNC_EN_BIT  'h0
CAMSYNC_SNDEN_BIT  'h2
CAMSYNC_EXTERNAL_BIT  'h4
CAMSYNC_TRIGGERED_BIT  'h6
CAMSYNC_MASTER_BIT  'h9
CAMSYNC_CHN_EN_BIT  'he
CAMSYNC_PRE_MAGIC   6 'b110100
CAMSYNC_POST_MAGIC   6 'b001101

Signals

reg  en
wire  en_pclk
wire  eprst
reg  ts_snd_en
reg  ts_external
reg  triggered_mode_r
reg[ 31 : 0 ]  ts_snd_sec
reg[ 19 : 0 ]  ts_snd_usec
wire[ 31 : 0 ]  ts_snd_sec_chn0
wire[ 19 : 0 ]  ts_snd_usec_chn0
reg[ 31 : 0 ]  ts_rcv_sec_chn0
reg[ 19 : 0 ]  ts_rcv_usec_chn0
wire[ 3 : 0 ]  ts_stb
wire[ 31 : 0 ]  ts_snd_sec_chn1
wire[ 19 : 0 ]  ts_snd_usec_chn1
reg[ 31 : 0 ]  ts_rcv_sec_chn1
reg[ 19 : 0 ]  ts_rcv_usec_chn1
wire[ 31 : 0 ]  ts_snd_sec_chn2
wire[ 19 : 0 ]  ts_snd_usec_chn2
reg[ 31 : 0 ]  ts_rcv_sec_chn2
reg[ 19 : 0 ]  ts_rcv_usec_chn2
wire[ 31 : 0 ]  ts_snd_sec_chn3
wire[ 19 : 0 ]  ts_snd_usec_chn3
reg[ 31 : 0 ]  ts_rcv_sec_chn3
reg[ 19 : 0 ]  ts_rcv_usec_chn3
wire[ 2 : 0 ]  cmd_a
wire[ 31 : 0 ]  cmd_data
wire  cmd_we
wire  set_mode_reg_w
wire  set_trig_src_w
wire  set_trig_delay0_w
wire  set_trig_delay1_w
wire  set_trig_delay2_w
wire  set_trig_delay3_w
wire  set_trig_dst_w
wire  set_trig_period_w
wire[ 9 : 0 ]  pre_input_use
wire[ 9 : 0 ]  pre_input_pattern
reg  high_zero
reg[ 9 : 0 ]  input_use
reg[ 9 : 0 ]  input_pattern
reg[ 9 : 0 ]  gpio_out_en_r
reg  pre_input_use_intern
reg  input_use_intern
reg[ 31 : 0 ]  input_dly_chn0
reg[ 31 : 0 ]  input_dly_chn1
reg[ 31 : 0 ]  input_dly_chn2
reg[ 31 : 0 ]  input_dly_chn3
reg[ 3 : 0 ]  chn_en
reg[ 1 : 0 ]  master_chn
reg[ 9 : 0 ]  gpio_active
reg  testmode
reg  outsync
reg  out_data
reg[ 31 : 0 ]  repeat_period
reg  start
reg  start_d
reg  rep_en
reg  start_en
wire  start_to_pclk
reg[ 2 : 0 ]  start_pclk
reg[ 31 : 0 ]  restart_cntr
reg[ 1 : 0 ]  restart_cntr_run
wire  restart
reg  trigger_condition
reg  trigger_condition_d
reg  trigger_condition_filtered
reg[ 6 : 0 ]  trigger_filter_cntr
reg[ 3 : 0 ]  trig_r
wire[ 3 : 0 ]  trig_r_mclk
reg  start_dly
reg[ 31 : 0 ]  dly_cntr_chn0
reg[ 31 : 0 ]  dly_cntr_chn1
reg[ 31 : 0 ]  dly_cntr_chn2
reg[ 31 : 0 ]  dly_cntr_chn3
reg[ 3 : 0 ]  dly_cntr_run
reg[ 3 : 0 ]  dly_cntr_run_d
wire[ 3 : 0 ]  dly_cntr_end
wire  pre_start_out_pulse
reg  start_out_pulse
reg[ 31 : 0 ]  pre_period
reg[ 7 : 0 ]  bit_length
wire[ 7 : 0 ]  bit_length_plus1
reg[ 7 : 0 ]  bit_length_short
wire  pre_start0
reg  start0
wire  pre_set_bit
reg  set_bit
wire  pre_set_period
reg  set_period
wire  start_late
reg[ 31 : 0 ]  sr_snd_first
reg[ 31 : 0 ]  sr_snd_second
reg[ 31 : 0 ]  sr_rcv_first
reg[ 31 : 0 ]  sr_rcv_second
reg[ 7 : 0 ]  bit_snd_duration
reg[ 5 : 0 ]  bit_snd_counter
reg[ 7 : 0 ]  bit_rcv_duration
reg  bit_rcv_duration_zero
reg[ 6 : 0 ]  bit_rcv_counter
reg  bit_snd_duration_zero
reg  ts_snd_en_pclk
reg  rcv_run_or_deaf
wire  rcv_run
reg  rcv_run_d
reg  rcv_done_rq
reg  rcv_done_rq_d
reg  rcv_done
wire  rcv_done_mclk
wire  pre_rcv_error
reg  rcv_error
reg  ts_external_pclk
reg  triggered_mode_pclk
wire[ 3 : 0 ]  local_got
wire[ 3 : 0 ]  local_got_pclk
wire[ 3 : 0 ]  frame_sync
reg[ 3 : 0 ]  ts_snap_triggered
wire[ 3 : 0 ]  ts_snap_triggered_mclk
wire[ 9 : 0 ]  input_mask
wire[ 9 : 0 ]  input_use_w
wire[ 9 : 0 ]  input_pattern_w
wire[ 9 : 0 ]  pre_gpio_out_en
wire[ 9 : 0 ]  pre_gpio_active
wire[ 9 : 0 ]  output_mask
wire[ 9 : 0 ]  gpio_out_en_w
wire[ 9 : 0 ]  gpio_active_w

Module Instances

cmd_deser::cmd_deser_32bit_i   Module cmd_deser
timestamp_to_parallel::timestamp_to_parallel0_i   Module timestamp_to_parallel
timestamp_to_parallel::timestamp_to_parallel1_i   Module timestamp_to_parallel
timestamp_to_parallel::timestamp_to_parallel2_i   Module timestamp_to_parallel
timestamp_to_parallel::timestamp_to_parallel3_i   Module timestamp_to_parallel
timestamp_to_serial::timestamp_to_serial0_i   Module timestamp_to_serial
timestamp_to_serial::timestamp_to_serial1_i   Module timestamp_to_serial
timestamp_to_serial::timestamp_to_serial2_i   Module timestamp_to_serial
timestamp_to_serial::timestamp_to_serial3_i   Module timestamp_to_serial
level_cross_clocks::level_cross_clocks_en_pclki   Module level_cross_clocks
pulse_cross_clock::i_start_to_pclk   Module pulse_cross_clock
pulse_cross_clock::i_ts_snap_mclk0   Module pulse_cross_clock
pulse_cross_clock::i_ts_snap_mclk1   Module pulse_cross_clock
pulse_cross_clock::i_ts_snap_mclk2   Module pulse_cross_clock
pulse_cross_clock::i_ts_snap_mclk3   Module pulse_cross_clock
pulse_cross_clock::i_rcv_done_mclk   Module pulse_cross_clock
pulse_cross_clock::i_local_got_pclk0   Module pulse_cross_clock
pulse_cross_clock::i_local_got_pclk1   Module pulse_cross_clock
pulse_cross_clock::i_local_got_pclk2   Module pulse_cross_clock
pulse_cross_clock::i_local_got_pclk3   Module pulse_cross_clock
pulse_cross_clock::i_trig_r_mclk0   Module pulse_cross_clock
pulse_cross_clock::i_trig_r_mclk1   Module pulse_cross_clock
pulse_cross_clock::i_trig_r_mclk2   Module pulse_cross_clock
pulse_cross_clock::i_trig_r_mclk3   Module pulse_cross_clock

Detailed Description

Definition at line 50 of file camsync393.v.

Member Function Documentation

ALWAYS_442 (   mclk  
)
Always Construct

Definition at line 363 of file camsync393.v.

ALWAYS_443 (   pclk  
)
Always Construct

Definition at line 428 of file camsync393.v.

ALWAYS_444 (   pclk  
)
Always Construct

Definition at line 448 of file camsync393.v.

ALWAYS_445 (   pclk  
)
Always Construct

Definition at line 489 of file camsync393.v.

ALWAYS_446 (   pclk  
)
Always Construct

Definition at line 515 of file camsync393.v.

Member Data Documentation

CAMSYNC_ADDR 'h160
Parameter

Definition at line 51 of file camsync393.v.

CAMSYNC_MASK 'h7f8
Parameter

Definition at line 52 of file camsync393.v.

CAMSYNC_MODE 'h0
Parameter

Definition at line 53 of file camsync393.v.

CAMSYNC_TRIG_SRC 'h1
Parameter

Definition at line 54 of file camsync393.v.

CAMSYNC_TRIG_DST 'h2
Parameter

Definition at line 55 of file camsync393.v.

CAMSYNC_TRIG_PERIOD 'h3
Parameter

Definition at line 56 of file camsync393.v.

CAMSYNC_TRIG_DELAY0 'h4
Parameter

Definition at line 57 of file camsync393.v.

CAMSYNC_TRIG_DELAY1 'h5
Parameter

Definition at line 58 of file camsync393.v.

CAMSYNC_TRIG_DELAY2 'h6
Parameter

Definition at line 59 of file camsync393.v.

CAMSYNC_TRIG_DELAY3 'h7
Parameter

Definition at line 60 of file camsync393.v.

CAMSYNC_EN_BIT 'h0
Parameter

Definition at line 62 of file camsync393.v.

CAMSYNC_SNDEN_BIT 'h2
Parameter

Definition at line 63 of file camsync393.v.

CAMSYNC_EXTERNAL_BIT 'h4
Parameter

Definition at line 64 of file camsync393.v.

CAMSYNC_TRIGGERED_BIT 'h6
Parameter

Definition at line 65 of file camsync393.v.

CAMSYNC_MASTER_BIT 'h9
Parameter

Definition at line 66 of file camsync393.v.

CAMSYNC_CHN_EN_BIT 'he
Parameter

Definition at line 67 of file camsync393.v.

CAMSYNC_PRE_MAGIC 6 'b110100
Parameter

Definition at line 70 of file camsync393.v.

CAMSYNC_POST_MAGIC 6 'b001101
Parameter

Definition at line 71 of file camsync393.v.

mclk
Input

Definition at line 75 of file camsync393.v.

mrst
Input

Definition at line 76 of file camsync393.v.

cmd_ad [ 7 : 0 ]
Input

Definition at line 77 of file camsync393.v.

cmd_stb
Input

Definition at line 78 of file camsync393.v.

pclk
Input

Definition at line 98 of file camsync393.v.

prst
Input

Definition at line 99 of file camsync393.v.

gpio_in [ 9 : 0 ]
Input

Definition at line 100 of file camsync393.v.

gpio_out [ 9 : 0 ]
Output

Definition at line 101 of file camsync393.v.

gpio_out_en [ 9 : 0 ]
Output

Definition at line 102 of file camsync393.v.

Definition at line 104 of file camsync393.v.

frsync_chn0
Input

Definition at line 106 of file camsync393.v.

trig_chn0
Output

Definition at line 107 of file camsync393.v.

frsync_chn1
Input

Definition at line 110 of file camsync393.v.

trig_chn1
Output

Definition at line 111 of file camsync393.v.

frsync_chn2
Input

Definition at line 114 of file camsync393.v.

trig_chn2
Output

Definition at line 115 of file camsync393.v.

frsync_chn3
Input

Definition at line 118 of file camsync393.v.

trig_chn3
Output

Definition at line 119 of file camsync393.v.

Definition at line 125 of file camsync393.v.

Definition at line 126 of file camsync393.v.

ts_snd_data_chn0 [ 7 : 0 ]
Input

Definition at line 127 of file camsync393.v.

Definition at line 129 of file camsync393.v.

Definition at line 130 of file camsync393.v.

ts_snd_data_chn1 [ 7 : 0 ]
Input

Definition at line 131 of file camsync393.v.

Definition at line 133 of file camsync393.v.

Definition at line 134 of file camsync393.v.

ts_snd_data_chn2 [ 7 : 0 ]
Input

Definition at line 135 of file camsync393.v.

Definition at line 137 of file camsync393.v.

Definition at line 138 of file camsync393.v.

ts_snd_data_chn3 [ 7 : 0 ]
Input

Definition at line 139 of file camsync393.v.

Definition at line 146 of file camsync393.v.

ts_rcv_data_chn0 [ 7 : 0 ]
Output

Definition at line 147 of file camsync393.v.

Definition at line 149 of file camsync393.v.

ts_rcv_data_chn1 [ 7 : 0 ]
Output

Definition at line 150 of file camsync393.v.

Definition at line 152 of file camsync393.v.

ts_rcv_data_chn2 [ 7 : 0 ]
Output

Definition at line 153 of file camsync393.v.

Definition at line 155 of file camsync393.v.

ts_rcv_data_chn3 [ 7 : 0 ]
Output

Definition at line 156 of file camsync393.v.

en
Signal

Definition at line 158 of file camsync393.v.

en_pclk
Signal

Definition at line 160 of file camsync393.v.

eprst
Signal

Definition at line 161 of file camsync393.v.

ts_snd_en
Signal

Definition at line 162 of file camsync393.v.

ts_external
Signal

Definition at line 163 of file camsync393.v.

Definition at line 164 of file camsync393.v.

ts_snd_sec
Signal

Definition at line 166 of file camsync393.v.

ts_snd_usec
Signal

Definition at line 167 of file camsync393.v.

Definition at line 169 of file camsync393.v.

Definition at line 170 of file camsync393.v.

Definition at line 172 of file camsync393.v.

Definition at line 173 of file camsync393.v.

ts_stb
Signal

Definition at line 174 of file camsync393.v.

Definition at line 176 of file camsync393.v.

Definition at line 177 of file camsync393.v.

Definition at line 179 of file camsync393.v.

Definition at line 180 of file camsync393.v.

Definition at line 182 of file camsync393.v.

Definition at line 183 of file camsync393.v.

Definition at line 185 of file camsync393.v.

Definition at line 186 of file camsync393.v.

Definition at line 188 of file camsync393.v.

Definition at line 189 of file camsync393.v.

Definition at line 191 of file camsync393.v.

Definition at line 192 of file camsync393.v.

cmd_a
Signal

Definition at line 196 of file camsync393.v.

cmd_data
Signal

Definition at line 197 of file camsync393.v.

cmd_we
Signal

Definition at line 198 of file camsync393.v.

Definition at line 200 of file camsync393.v.

Definition at line 201 of file camsync393.v.

Definition at line 202 of file camsync393.v.

Definition at line 203 of file camsync393.v.

Definition at line 204 of file camsync393.v.

Definition at line 205 of file camsync393.v.

Definition at line 206 of file camsync393.v.

Definition at line 207 of file camsync393.v.

pre_input_use
Signal

Definition at line 208 of file camsync393.v.

Definition at line 209 of file camsync393.v.

high_zero
Signal

Definition at line 212 of file camsync393.v.

input_use
Signal

Definition at line 213 of file camsync393.v.

input_pattern
Signal

Definition at line 214 of file camsync393.v.

gpio_out_en_r
Signal

Definition at line 215 of file camsync393.v.

Definition at line 216 of file camsync393.v.

Definition at line 217 of file camsync393.v.

Definition at line 218 of file camsync393.v.

Definition at line 219 of file camsync393.v.

Definition at line 220 of file camsync393.v.

Definition at line 221 of file camsync393.v.

chn_en
Signal

Definition at line 222 of file camsync393.v.

master_chn
Signal

Definition at line 223 of file camsync393.v.

gpio_active
Signal

Definition at line 224 of file camsync393.v.

testmode
Signal

Definition at line 225 of file camsync393.v.

outsync
Signal

Definition at line 226 of file camsync393.v.

out_data
Signal

Definition at line 227 of file camsync393.v.

repeat_period
Signal

Definition at line 228 of file camsync393.v.

start
Signal

Definition at line 229 of file camsync393.v.

start_d
Signal

Definition at line 229 of file camsync393.v.

rep_en
Signal

Definition at line 230 of file camsync393.v.

start_en
Signal

Definition at line 231 of file camsync393.v.

start_to_pclk
Signal

Definition at line 232 of file camsync393.v.

start_pclk
Signal

Definition at line 233 of file camsync393.v.

restart_cntr
Signal

Definition at line 234 of file camsync393.v.

Definition at line 235 of file camsync393.v.

restart
Signal

Definition at line 236 of file camsync393.v.

Definition at line 237 of file camsync393.v.

Definition at line 238 of file camsync393.v.

Definition at line 239 of file camsync393.v.

Definition at line 240 of file camsync393.v.

trig_r
Signal

Definition at line 241 of file camsync393.v.

trig_r_mclk
Signal

Definition at line 242 of file camsync393.v.

start_dly
Signal

Definition at line 246 of file camsync393.v.

dly_cntr_chn0
Signal

Definition at line 247 of file camsync393.v.

dly_cntr_chn1
Signal

Definition at line 248 of file camsync393.v.

dly_cntr_chn2
Signal

Definition at line 249 of file camsync393.v.

dly_cntr_chn3
Signal

Definition at line 250 of file camsync393.v.

dly_cntr_run
Signal

Definition at line 251 of file camsync393.v.

Definition at line 252 of file camsync393.v.

dly_cntr_end
Signal

Definition at line 253 of file camsync393.v.

Definition at line 254 of file camsync393.v.

Definition at line 255 of file camsync393.v.

pre_period
Signal

Definition at line 256 of file camsync393.v.

bit_length
Signal

Definition at line 257 of file camsync393.v.

Definition at line 259 of file camsync393.v.

Definition at line 260 of file camsync393.v.

pre_start0
Signal

Definition at line 262 of file camsync393.v.

start0
Signal

Definition at line 263 of file camsync393.v.

pre_set_bit
Signal

Definition at line 264 of file camsync393.v.

set_bit
Signal

Definition at line 265 of file camsync393.v.

Definition at line 266 of file camsync393.v.

set_period
Signal

Definition at line 267 of file camsync393.v.

start_late
Signal

Definition at line 268 of file camsync393.v.

sr_snd_first
Signal

Definition at line 270 of file camsync393.v.

sr_snd_second
Signal

Definition at line 271 of file camsync393.v.

sr_rcv_first
Signal

Definition at line 273 of file camsync393.v.

sr_rcv_second
Signal

Definition at line 274 of file camsync393.v.

Definition at line 275 of file camsync393.v.

Definition at line 276 of file camsync393.v.

Definition at line 277 of file camsync393.v.

Definition at line 278 of file camsync393.v.

Definition at line 279 of file camsync393.v.

Definition at line 280 of file camsync393.v.

Definition at line 281 of file camsync393.v.

Definition at line 283 of file camsync393.v.

rcv_run
Signal

Definition at line 284 of file camsync393.v.

rcv_run_d
Signal

Definition at line 285 of file camsync393.v.

rcv_done_rq
Signal

Definition at line 286 of file camsync393.v.

rcv_done_rq_d
Signal

Definition at line 287 of file camsync393.v.

rcv_done
Signal

Definition at line 288 of file camsync393.v.

rcv_done_mclk
Signal

Definition at line 289 of file camsync393.v.

pre_rcv_error
Signal

Definition at line 290 of file camsync393.v.

rcv_error
Signal

Definition at line 291 of file camsync393.v.

Definition at line 293 of file camsync393.v.

Definition at line 294 of file camsync393.v.

local_got
Signal

Definition at line 297 of file camsync393.v.

Definition at line 298 of file camsync393.v.

frame_sync
Signal

Definition at line 299 of file camsync393.v.

Definition at line 300 of file camsync393.v.

Definition at line 301 of file camsync393.v.

input_mask
Signal

Definition at line 350 of file camsync393.v.

input_use_w
Signal

Definition at line 351 of file camsync393.v.

Definition at line 352 of file camsync393.v.

Definition at line 354 of file camsync393.v.

Definition at line 356 of file camsync393.v.

output_mask
Signal

Definition at line 359 of file camsync393.v.

gpio_out_en_w
Signal

Definition at line 360 of file camsync393.v.

gpio_active_w
Signal

Definition at line 361 of file camsync393.v.

cmd_deser cmd_deser_32bit_i
Module Instance

Definition at line 617 of file camsync393.v.

level_cross_clocks level_cross_clocks_en_pclki
Module Instance

Definition at line 702 of file camsync393.v.

pulse_cross_clock i_start_to_pclk
Module Instance

Definition at line 713 of file camsync393.v.

pulse_cross_clock i_ts_snap_mclk0
Module Instance

Definition at line 715 of file camsync393.v.

pulse_cross_clock i_ts_snap_mclk1
Module Instance

Definition at line 716 of file camsync393.v.

pulse_cross_clock i_ts_snap_mclk2
Module Instance

Definition at line 717 of file camsync393.v.

pulse_cross_clock i_ts_snap_mclk3
Module Instance

Definition at line 718 of file camsync393.v.

pulse_cross_clock i_rcv_done_mclk
Module Instance

Definition at line 720 of file camsync393.v.

pulse_cross_clock i_local_got_pclk0
Module Instance

Definition at line 722 of file camsync393.v.

pulse_cross_clock i_local_got_pclk1
Module Instance

Definition at line 723 of file camsync393.v.

pulse_cross_clock i_local_got_pclk2
Module Instance

Definition at line 724 of file camsync393.v.

pulse_cross_clock i_local_got_pclk3
Module Instance

Definition at line 725 of file camsync393.v.

pulse_cross_clock i_trig_r_mclk0
Module Instance

Definition at line 727 of file camsync393.v.

pulse_cross_clock i_trig_r_mclk1
Module Instance

Definition at line 728 of file camsync393.v.

pulse_cross_clock i_trig_r_mclk2
Module Instance

Definition at line 729 of file camsync393.v.

pulse_cross_clock i_trig_r_mclk3
Module Instance

Definition at line 730 of file camsync393.v.

timestamp_to_parallel timestamp_to_parallel0_i
Module Instance

Definition at line 634 of file camsync393.v.

timestamp_to_parallel timestamp_to_parallel1_i
Module Instance

Definition at line 643 of file camsync393.v.

timestamp_to_parallel timestamp_to_parallel2_i
Module Instance

Definition at line 652 of file camsync393.v.

timestamp_to_parallel timestamp_to_parallel3_i
Module Instance

Definition at line 661 of file camsync393.v.

timestamp_to_serial timestamp_to_serial0_i
Module Instance

Definition at line 670 of file camsync393.v.

timestamp_to_serial timestamp_to_serial1_i
Module Instance

Definition at line 678 of file camsync393.v.

timestamp_to_serial timestamp_to_serial2_i
Module Instance

Definition at line 686 of file camsync393.v.

timestamp_to_serial timestamp_to_serial3_i
Module Instance

Definition at line 694 of file camsync393.v.


The documentation for this Module was generated from the following files: