x393  1.0
FPGAcodeforElphelNC393camera
debug_master.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
41 module debug_master #(
42  parameter DEBUG_ADDR = 'h710, //..'h713
43  parameter DEBUG_MASK = 'h7fc,
44  parameter DEBUG_STATUS_REG_ADDR = 'hfc, // address where status can be read out
45  parameter DEBUG_READ_REG_ADDR = 'hfd, // read 32-bit received shifted data
46  parameter DEBUG_SHIFT_DATA = 'h0, // shift i/o data by 32 bits
47  parameter DEBUG_LOAD = 'h1, // parallel load of the distributed shift registe (both ways)
48  parameter DEBUG_SET_STATUS = 'h2, // program status (mode 3?)
49  parameter DEBUG_CMD_LATENCY = 2 // >0 extra registers in the debug_sl (distriburted in parallel)
50 )(
51  input mclk,
52  input mrst, // @ posedge mclk - sync reset
53  // programming interface
54  input [7:0] cmd_ad, // byte-serial command address/data (up to 6 bytes: AL-AH-D0-D1-D2-D3
55  input cmd_stb, // strobe (with first byte) for the command a/d
56 
57  output [7:0] status_ad, // status address/data - up to 5 bytes: A - {seq,status[1:0]} - status[2:9] - status[10:17] - status[18:25]
58  output status_rq, // input request to send status downstream
59  input status_start, // Acknowledge of the first status packet byte (address)
60 
61  // debug ring
62  output debug_do, // data out to the debug ring @posedge mclk, LSB first
63  output debug_sl, // 0 - idle, (1,0) - shift, (1,1) - load
64  input debug_di // input data from the debug ring, LSB first
65 );
66  wire [1:0] cmd_a;
67  wire [31:0] cmd_data;
68  wire cmd_we;
69  reg [31:0] data_sr;
70  reg tgl;
71  reg [ 6:0] cntr;
72  reg ld_r;
73  reg cmd; //command stae (0 - idle)
76 
79  wire load_w = cmd_we && (cmd_a == DEBUG_LOAD);
81  wire shift_done;
82 
83  assign debug_sl = cmd_reg[0];
84  assign debug_do = data_sr[0];
85 
86  always @ (posedge mclk) begin
87  if (mrst) ld_r <= 0;
88  else ld_r <= load_w;
89 
90  if (mrst) cntr <= 0;
91  else if (shift32_w) cntr <= 7'h41;
92  else if (cntr[6]) cntr <= cntr + 1;
93 
94  if (mrst) cmd_reg <= 0;
95  else cmd_reg <= {cmd_reg[DEBUG_CMD_LATENCY - 1 : 0], load_w | ld_r | cntr[0]};
96 
97  if (mrst) cmd <= 0;
98  else cmd <= cmd_reg_dly & ~cmd;
99 
100  if (shift32_w) data_sr <= cmd_data;
101  else if (cmd && !cmd_reg_dly) data_sr <= {debug_di, data_sr[31:1]};
102 
103  if (mrst) tgl <= 0;
104  else tgl <= tgl ^ shift_done; // When counter == 127 - toggle tgl to initiate status send
105 
106  end
107 
109  .WIDTH(1)
110  ) dly_16_i (
111  .clk (mclk), // input
112  .rst (1'b0), // input
113  .dly (debug_latency_plus1), // DEBUG_CMD_LATENCY+1), // input[3:0]
114  .din (&cntr), // input[0:0]
115  .dout (shift_done) // output[0:0]
116  );
117 
118 
120  .ADDR (DEBUG_ADDR),
121  .ADDR_MASK (DEBUG_MASK),
122  .NUM_CYCLES (6),
123  .ADDR_WIDTH (2),
124  .DATA_WIDTH (32)
125  ) cmd_deser_32bit_i (
126  .rst (1'b0), //rst), // input
127  .clk (mclk), // input
128  .srst (mrst), // input
129  .ad (cmd_ad), // input[7:0]
130  .stb (cmd_stb), // input
131  .addr (cmd_a), // output[3:0]
132  .data (cmd_data), // output[31:0]
133  .we (cmd_we) // output
134  );
135 
137  .STATUS_REG_ADDR (DEBUG_STATUS_REG_ADDR),
138  .PAYLOAD_BITS (1),
139  .REGISTER_STATUS (0),
140  .EXTRA_WORDS (1),
141  .EXTRA_REG_ADDR (DEBUG_READ_REG_ADDR)
142  ) status_generate_i (
143  .rst (1'b0), // rst), // input
144  .clk (mclk), // input
145  .srst (mrst), // input
146  .we (set_status_w), // input
147  .wd (cmd_data[7:0]), // input[7:0]
148  .status ({data_sr,tgl}), // input[14:0]
149  .ad (status_ad), // output[7:0]
150  .rq (status_rq), // output
151  .start (status_start) // input
152  );
153 
154 
155 endmodule
156 
10332clk
Definition: dly_16.v:44
10278DEBUG_READ_REG_ADDR'hfd
Definition: debug_master.v:45
10293cmd_awire[1:0]
Definition: debug_master.v:66
dly_16_i dly_16
Definition: debug_master.v:108
10304shift32_wwire
Definition: debug_master.v:78
10275DEBUG_ADDR'h710
Definition: debug_master.v:42
status_generate_i status_generate
Definition: debug_master.v:136
10303set_status_wwire
Definition: debug_master.v:77
[7:0] 10285cmd_ad
Definition: debug_master.v:54
10294cmd_datawire[31:0]
Definition: debug_master.v:67
[ADDR_MASK2!=0?2:ADDR_MASK1!=0?1:0:0] 9935we
Definition: cmd_deser.v:60
[WIDTH-1:0] 10336dout
Definition: dly_16.v:48
10282DEBUG_CMD_LATENCY2
Definition: debug_master.v:49
10307shift_donewire
Definition: debug_master.v:81
10301cmd_regreg[DEBUG_CMD_LATENCY:0]
Definition: debug_master.v:74
10279DEBUG_SHIFT_DATA'h0
Definition: debug_master.v:46
10302debug_latency_plus1wire[3:0]
Definition: debug_master.v:75
10298cntrreg[6:0]
Definition: debug_master.v:71
[WIDTH-1:0] 10335din
Definition: dly_16.v:47
10277DEBUG_STATUS_REG_ADDR'hfc
Definition: debug_master.v:44
[DATA_WIDTH-1:0] 9934data
Definition: cmd_deser.v:59
[7:0] 10287status_ad
Definition: debug_master.v:57
10281DEBUG_SET_STATUS'h2
Definition: debug_master.v:48
10280DEBUG_LOAD'h1
Definition: debug_master.v:47
[7:0] 9931ad
Definition: cmd_deser.v:56
[ADDR_WIDTH-1:0] 9933addr
Definition: cmd_deser.v:58
10276DEBUG_MASK'h7fc
Definition: debug_master.v:43
10306cmd_reg_dlywire
Definition: debug_master.v:80
[ALL_BITS-1:0] 10777status
10333rst
Definition: dly_16.v:45
10296data_srreg[31:0]
Definition: debug_master.v:69
cmd_deser_32bit_i cmd_deser
Definition: debug_master.v:119
[3:0] 10334dly
Definition: dly_16.v:46