x393  1.0
FPGAcodeforElphelNC393camera
cmd_encod_4mux.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
42  input mrst,
43  input clk,
44 
45  input start0, // this channel was started
46  input [31:0] enc_cmd0, // encoded command
47  input enc_wr0, // write encoded command
48  input enc_done0, // encoding finished
49 
50  input start1, // this channel was started
51  input [31:0] enc_cmd1, // encoded command
52  input enc_wr1, // write encoded command
53  input enc_done1, // encoding finished
54 
55  input start2, // this channel was started
56  input [31:0] enc_cmd2, // encoded command
57  input enc_wr2, // write encoded command
58  input enc_done2, // encoding finished
59 
60  input start3, // this channel was started
61  input [31:0] enc_cmd3, // encoded command
62  input enc_wr3, // write encoded command
63  input enc_done3, // encoding finished
64 
65  output reg start, // combined output was started (1 clk from |start*)
66  output reg [31:0] enc_cmd, // encoded command
67  output reg enc_wr, // write encoded command
68  output reg enc_done // encoding finished
69 );
70  reg [3:0] select;
72  always @ (posedge clk) begin
73  if (mrst) start <= 0;
74  else start <= start_w;
75 
76  if (mrst) select <= 0;
77  else if (start_w) select <={ // normally should be no simultaneous starts, so priority is not needed
78  start3 & ~start2 & ~start1 & ~start0,
79  start2 & ~start1 & ~start0,
80  start1 & ~start0,
81  start0};
82  end
83 
84  always @(posedge clk) begin
85  enc_cmd <= ({32{select[0]}} & enc_cmd0) |
86  ({32{select[1]}} & enc_cmd1) |
87  ({32{select[2]}} & enc_cmd2) |
88  ({32{select[3]}} & enc_cmd3);
89 
90  enc_wr <= (select[0] & enc_wr0) |
91  (select[1] & enc_wr1) |
92  (select[2] & enc_wr2) |
93  (select[3] & enc_wr3);
94 
95  enc_done <= (select[0] & enc_done0) |
96  (select[1] & enc_done1) |
97  (select[2] & enc_done2) |
98  (select[3] & enc_done3);
99  end
100 
101 endmodule
102 
3878selectreg[3:0]
[31:0] 3871enc_cmd3
[31:0] 3859enc_cmd0
reg [31:0] 3875enc_cmd
[31:0] 3867enc_cmd2
[31:0] 3863enc_cmd1