x393  1.0
FPGAcodeforElphelNC393camera
ahci_ctrl_stat.v
Go to the documentation of this file.
1 
27 `timescale 1ns/1ps
28 
29 module ahci_ctrl_stat #(
30 // parameter READ_REG_LATENCY = 2, // 0 if reg_rdata is available with reg_re/reg_addr, 2 with re/regen
31  parameter ADDRESS_BITS = 10 // number of memory address bits - now fixed. Low half - RO/RW/RWC,RW1 (2-cycle write), 2-nd just RW (single-cycle)
32 )(
33  input mrst, // @posedge mclk, generated by phy
34  input mclk, // for command/status
35  input was_hba_rst, // last reset was hba reset (not counting system reset)
36  input was_port_rst, // last reset was port reset(not counting system reset)
37 
38  // notification from axi_ahci_regs that software has written data to register
39  input [ADDRESS_BITS-1:0] soft_write_addr, // register address written by software
40  input [31:0] soft_write_data, // register data written (after applying wstb and type (RO, RW, RWC, RW1)
41  input soft_write_en, // write enable for data write
42 // input soft_arst, // reset SATA PHY not relying on SATA clock
43  // R/W access to AXI/AHCI registers, shared with ahci_fis_receive and ahci_fis_transmit modules
44  output reg [ADDRESS_BITS-1:0] regs_addr,
45  output reg regs_we,
46 // output [3:0] regs_wstb, Needed?
47 // output [1:0] regs_re, // [0] - re, [1] - regen
48  output reg [31:0] regs_din,
49 // input [31:0] regs_dout,
50  // update register inputs (will write to register memory current value of the corresponding register)
52  input update_all,
53  output update_busy, // valid same cycle as update_all
54 
55  input update_gis, // these following individual may be unneeded - just use universal update_all
56  input update_pis,
57  input update_ssts,
58  input update_serr,
59  input update_pcmd,
60  input update_pci,
61  input update_ghc,
62 
63 /// output reg st01_pending, // software turned PxCMD.ST from 0 to 1
64 /// output reg st10_pending, // software turned PxCMD.ST from 1 to 0
65 /// input st_pending_reset,// reset both st01_pending and st10_pending
66 
67 // PxCMD
68 // input pcmd_clear_icc, // clear PxCMD.ICC field (generated here)
69  input pcmd_esp, // external SATA port (just forward value)
70  output pcmd_cr, // command list run - current
71  input pcmd_cr_set, // command list run set
72  input pcmd_cr_reset, // command list run reset
73  input pcmd_fr, // ahci_fis_receive:get_fis_busy - change to HAB set/reset (set, do, reset)
74  output pcmd_fre, // FIS enable copy to memory
75  input pcmd_clear_bsy_drq, // == ahci_fis_receive:clear_bsy_drq
76  output pcmd_clo, //RW1, causes ahci_fis_receive:clear_bsy_drq, that in turn resets this bit
77  input pcmd_clear_st, // RW clear ST (start) bit
78  output pcmd_st, // current value
79 
80  input pfsm_started, // H: FSM done, P: FSM started (enable sensing pcmd_st_cleared)
81  output reg pcmd_st_cleared,// ST bit cleared by software; TODO: check not in H:Init (5.3.2.10)
82 
83 //clear_bsy_drq
84 // Interrupt inputs
85  input sirq_TFE, // RWC: Task File Error Status
86  input sirq_IF, // RWC: Interface Fatal Error Status (sect. 6.1.2)
87  input sirq_INF, // RWC: Interface Non-Fatal Error Status (sect. 6.1.2)
88  input sirq_OF, // RWC: Overflow Status
89  input sirq_PRC, // RO: PhyRdy changed Status
90  input sirq_PC, // RO: Port Connect Change Status
91  input sirq_DP, // RWC: Descriptor Processed with "I" bit on
92  input sirq_UF, // RO: Unknown FIS
93  input sirq_SDB, // RWC: Set Device Bits Interrupt - Set Device bits FIS with 'I' bit set
94  input sirq_DS, // RWC: DMA Setup FIS Interrupt - DMA Setup FIS received with 'I' bit set
95  input sirq_PS, // RWC: PIO Setup FIS Interrupt - PIO Setup FIS received with 'I' bit set
96  input sirq_DHR, // RWC: D2H Register FIS Interrupt - D2H Register FIS received with 'I' bit set
97 // SCR1:SError (only inputs that are not available in sirq_* ones
98  //sirq_PC,
99  //sirq_UF
100  input serr_DT, // RWC: Transport state transition error
101  input serr_DS, // RWC: Link sequence error
102  input serr_DH, // RWC: Handshake Error (i.e. Device got CRC error)
103  input serr_DC, // RWC: CRC error in Link layer
104  input serr_DB, // RWC: 10B to 8B decode error
105  input serr_DW, // RWC: COMMWAKE signal was detected
106  input serr_DI, // RWC: PHY Internal Error
107  // sirq_PRC,
108  // sirq_IF || // sirq_INF
109  input serr_EE, // RWC: Internal error (such as elastic buffer overflow or primitive mis-alignment)
110  input serr_EP, // RWC: Protocol Error - a violation of SATA protocol detected
111  input serr_EC, // RWC: Persistent Communication or Data Integrity Error
112  input serr_ET, // RWC: Transient Data Integrity Error (error not recovered by the interface)
113  input serr_EM, // RWC: Communication between the device and host was lost but re-established
114  input serr_EI, // RWC: Recovered Data integrity Error
115  output serr_diag_X, // value of PxSERR.DIAG.X
116 
117 
118 // SCR0: SStatus
119  input ssts_ipm_dnp, // device not present or communication not established
120  input ssts_ipm_active, // device in active state
121  input ssts_ipm_part, // device in partial state
122  input ssts_ipm_slumb, // device in slumber state
123  input ssts_ipm_devsleep, // device in DevSleep state
124 
125  input ssts_spd_dnp, // device not present or communication not established
126  input ssts_spd_gen1, // Gen 1 rate negotiated
127  input ssts_spd_gen2, // Gen 2 rate negotiated
128  input ssts_spd_gen3, // Gen 3 rate negotiated
129 
130  input ssts_det_ndnp, // no device detected, phy communication not established
131  input ssts_det_dnp, // device detected, but phy communication not established
132  input ssts_det_dp, // device detected, phy communication established
133  input ssts_det_offline, // device offline or BIST
134  output [3:0] ssts_det, // current value of PxSSTS.DET
135 
136  // SCR2:SControl (written by software only)
137  output reg [3:0] sctl_ipm, // Interface power management transitions allowed
138  output reg [3:0] sctl_spd, // Interface maximal speed
139  output reg [3:0] sctl_det, // Device detection initialization requested
140  output reg sctl_det_changed, // Software had written new value to sctl_det
141  input sctl_det_reset, // clear sctl_det_changed
142 
143  input pxci0_clear, // PxCI clear
144  output pxci0, // pxCI current value
145 
146  input hba_reset_done, // at the end of the HBA reset, clear GHC.HR, GHC.IE
147  output unsolicited_en, // enable processing of cominit_got and PxERR.DIAG.W interrupts from
148  // this bit is reset at reset, set when PxSSTS.DET==3 or PxSCTL.DET==4
149 
150 /*
151 */
152  output reg irq
153  // Many I/Os to add
154 );// RO: Device ID
155  localparam PCI_Header__ID__DID__ADDR = 'h60;
156  localparam PCI_Header__ID__DID__MASK = 'hffff0000;
157  localparam PCI_Header__ID__DID__DFLT = 'h10000;
158 // RO: Vendor ID
159  localparam PCI_Header__ID__VID__ADDR = 'h60;
160  localparam PCI_Header__ID__VID__MASK = 'hffff;
161  localparam PCI_Header__ID__VID__DFLT = 'hfffe;
162 // RW: HBA Interrupt Disable
163  localparam PCI_Header__CMD__ID__ADDR = 'h61;
164  localparam PCI_Header__CMD__ID__MASK = 'h400;
165  localparam PCI_Header__CMD__ID__DFLT = 'h0;
166 // RO: Fast Back-to-Back Enable
167  localparam PCI_Header__CMD__FBE__ADDR = 'h61;
168  localparam PCI_Header__CMD__FBE__MASK = 'h200;
169  localparam PCI_Header__CMD__FBE__DFLT = 'h0;
170 // RO: SERR Enable
171  localparam PCI_Header__CMD__SEE__ADDR = 'h61;
172  localparam PCI_Header__CMD__SEE__MASK = 'h100;
173  localparam PCI_Header__CMD__SEE__DFLT = 'h0;
174 // RO: Reserved
175  localparam PCI_Header__CMD__WCC__ADDR = 'h61;
176  localparam PCI_Header__CMD__WCC__MASK = 'h80;
177  localparam PCI_Header__CMD__WCC__DFLT = 'h0;
178 // RO: Parity Error Response Enable
179  localparam PCI_Header__CMD__PEE__ADDR = 'h61;
180  localparam PCI_Header__CMD__PEE__MASK = 'h40;
181  localparam PCI_Header__CMD__PEE__DFLT = 'h0;
182 // RO: Reserved
183  localparam PCI_Header__CMD__VGA__ADDR = 'h61;
184  localparam PCI_Header__CMD__VGA__MASK = 'h20;
185  localparam PCI_Header__CMD__VGA__DFLT = 'h0;
186 // RO: Reserved
187  localparam PCI_Header__CMD__MWIE__ADDR = 'h61;
188  localparam PCI_Header__CMD__MWIE__MASK = 'h10;
189  localparam PCI_Header__CMD__MWIE__DFLT = 'h0;
190 // RO: Reserved
191  localparam PCI_Header__CMD__SCE__ADDR = 'h61;
192  localparam PCI_Header__CMD__SCE__MASK = 'h8;
193  localparam PCI_Header__CMD__SCE__DFLT = 'h0;
194 // RW: Bus Master Enable (0 - stops any DMA)
195  localparam PCI_Header__CMD__BME__ADDR = 'h61;
196  localparam PCI_Header__CMD__BME__MASK = 'h4;
197  localparam PCI_Header__CMD__BME__DFLT = 'h0;
198 // RW: Memory Space enable (here - always?)
199  localparam PCI_Header__CMD__MSE__ADDR = 'h61;
200  localparam PCI_Header__CMD__MSE__MASK = 'h2;
201  localparam PCI_Header__CMD__MSE__DFLT = 'h0;
202 // RO: Enable IO space access (only for legacy IDE)
203  localparam PCI_Header__CMD__IOSE__ADDR = 'h61;
204  localparam PCI_Header__CMD__IOSE__MASK = 'h1;
205  localparam PCI_Header__CMD__IOSE__DFLT = 'h0;
206 // RWC: Detected Parity Error
207  localparam PCI_Header__STS__DPE__ADDR = 'h61;
208  localparam PCI_Header__STS__DPE__MASK = 'h80000000;
209  localparam PCI_Header__STS__DPE__DFLT = 'h0;
210 // RWC: Signaled System Error (HBA SERR)
211  localparam PCI_Header__STS__SSE__ADDR = 'h61;
212  localparam PCI_Header__STS__SSE__MASK = 'h40000000;
213  localparam PCI_Header__STS__SSE__DFLT = 'h0;
214 // RWC: Received Master Abort
215  localparam PCI_Header__STS__RMA__ADDR = 'h61;
216  localparam PCI_Header__STS__RMA__MASK = 'h20000000;
217  localparam PCI_Header__STS__RMA__DFLT = 'h0;
218 // RWC: Received Target Abort
219  localparam PCI_Header__STS__RTA__ADDR = 'h61;
220  localparam PCI_Header__STS__RTA__MASK = 'h10000000;
221  localparam PCI_Header__STS__RTA__DFLT = 'h0;
222 // RWC: Signaled Target Abort
223  localparam PCI_Header__STS__STA__ADDR = 'h61;
224  localparam PCI_Header__STS__STA__MASK = 'h8000000;
225  localparam PCI_Header__STS__STA__DFLT = 'h0;
226 // RO: PCI DEVSEL Timing
227  localparam PCI_Header__STS__DEVT__ADDR = 'h61;
228  localparam PCI_Header__STS__DEVT__MASK = 'h6000000;
229  localparam PCI_Header__STS__DEVT__DFLT = 'h0;
230 // RWC: Master Data Parity Error Detected
231  localparam PCI_Header__STS__DPD__ADDR = 'h61;
232  localparam PCI_Header__STS__DPD__MASK = 'h1000000;
233  localparam PCI_Header__STS__DPD__DFLT = 'h0;
234 // RO: Fast Back-To-Back Capable
235  localparam PCI_Header__STS__FBC__ADDR = 'h61;
236  localparam PCI_Header__STS__FBC__MASK = 'h800000;
237  localparam PCI_Header__STS__FBC__DFLT = 'h0;
238 // RO: 66 MHz Capable
239  localparam PCI_Header__STS__C66__ADDR = 'h61;
240  localparam PCI_Header__STS__C66__MASK = 'h200000;
241  localparam PCI_Header__STS__C66__DFLT = 'h0;
242 // RO: Capabilities List (PCI power management mandatory)
243  localparam PCI_Header__STS__CL__ADDR = 'h61;
244  localparam PCI_Header__STS__CL__MASK = 'h100000;
245  localparam PCI_Header__STS__CL__DFLT = 'h100000;
246 // RO: Interrupt Status (1 - asserted)
247  localparam PCI_Header__STS__IS__ADDR = 'h61;
248  localparam PCI_Header__STS__IS__MASK = 'h80000;
249  localparam PCI_Header__STS__IS__DFLT = 'h0;
250 // RO: HBA Revision ID
251  localparam PCI_Header__RID__RID__ADDR = 'h62;
252  localparam PCI_Header__RID__RID__MASK = 'hff;
253  localparam PCI_Header__RID__RID__DFLT = 'h2;
254 // RO: Base Class Code: 1 - Mass Storage Device
255  localparam PCI_Header__CC__BCC__ADDR = 'h62;
256  localparam PCI_Header__CC__BCC__MASK = 'hff000000;
257  localparam PCI_Header__CC__BCC__DFLT = 'h1000000;
258 // RO: Sub Class Code: 0x06 - SATA Device
259  localparam PCI_Header__CC__SCC__ADDR = 'h62;
260  localparam PCI_Header__CC__SCC__MASK = 'hff0000;
261  localparam PCI_Header__CC__SCC__DFLT = 'h60000;
262 // RO: Programming Interface: 1 - AHCI HBA major rev 1
263  localparam PCI_Header__CC__PI__ADDR = 'h62;
264  localparam PCI_Header__CC__PI__MASK = 'hff0000;
265  localparam PCI_Header__CC__PI__DFLT = 'h10000;
266 // RW: Cache Line Size
267  localparam PCI_Header__CLS__CLS__ADDR = 'h63;
268  localparam PCI_Header__CLS__CLS__MASK = 'hff;
269  localparam PCI_Header__CLS__CLS__DFLT = 'h0;
270 // RW: Master Latency Timer
271  localparam PCI_Header__MLT__MLT__ADDR = 'h63;
272  localparam PCI_Header__MLT__MLT__MASK = 'hff00;
273  localparam PCI_Header__MLT__MLT__DFLT = 'h0;
274 // RO: Multi-Function Device
275  localparam PCI_Header__HTYPE__MFDT__ADDR = 'h63;
276  localparam PCI_Header__HTYPE__MFDT__MASK = 'h8000;
277  localparam PCI_Header__HTYPE__MFDT__DFLT = 'h0;
278 // RO: Header Layout 0 - HBA uses a target device layout
279  localparam PCI_Header__HTYPE__HL__ADDR = 'h63;
280  localparam PCI_Header__HTYPE__HL__MASK = 'h7f00;
281  localparam PCI_Header__HTYPE__HL__DFLT = 'h0;
282 // RO: AHCI Base Address high bits, normally RW, but here RO to get to MAXIGP1 space
283  localparam PCI_Header__ABAR__BA__ADDR = 'h69;
284  localparam PCI_Header__ABAR__BA__MASK = 'hfffffff0;
285  localparam PCI_Header__ABAR__BA__DFLT = 'h80000000;
286 // RO: Prefetchable (this is not)
287  localparam PCI_Header__ABAR__PF__ADDR = 'h69;
288  localparam PCI_Header__ABAR__PF__MASK = 'h8;
289  localparam PCI_Header__ABAR__PF__DFLT = 'h0;
290 // RO: Type (0 - any 32-bit address, here it is hard-mapped
291  localparam PCI_Header__ABAR__TP__ADDR = 'h69;
292  localparam PCI_Header__ABAR__TP__MASK = 'h6;
293  localparam PCI_Header__ABAR__TP__DFLT = 'h0;
294 // RO: Resource Type Indicator: 0 - memory address
295  localparam PCI_Header__ABAR__RTE__ADDR = 'h69;
296  localparam PCI_Header__ABAR__RTE__MASK = 'h1;
297  localparam PCI_Header__ABAR__RTE__DFLT = 'h0;
298 // RO: SubSystem ID
299  localparam PCI_Header__SS__SSID__ADDR = 'h6b;
300  localparam PCI_Header__SS__SSID__MASK = 'hffff0000;
301  localparam PCI_Header__SS__SSID__DFLT = 'h10000;
302 // RO: SubSystem Vendor ID
303  localparam PCI_Header__SS__SSVID__ADDR = 'h6b;
304  localparam PCI_Header__SS__SSVID__MASK = 'hffff;
305  localparam PCI_Header__SS__SSVID__DFLT = 'hfffe;
306 // RO: ROM Base Address
307  localparam PCI_Header__EROM__RBA__ADDR = 'h6c;
308  localparam PCI_Header__EROM__RBA__MASK = 'hffffffff;
309  localparam PCI_Header__EROM__RBA__DFLT = 'h0;
310 // RO: Capabilities pointer
311  localparam PCI_Header__CAP__CAP__ADDR = 'h6d;
312  localparam PCI_Header__CAP__CAP__MASK = 'hff;
313  localparam PCI_Header__CAP__CAP__DFLT = 'h40;
314 // RO: Interrupt pin
315  localparam PCI_Header__INTR__IPIN__ADDR = 'h6f;
316  localparam PCI_Header__INTR__IPIN__MASK = 'hff00;
317  localparam PCI_Header__INTR__IPIN__DFLT = 'h100;
318 // RW: Interrupt Line
319  localparam PCI_Header__INTR__ILINE__ADDR = 'h6f;
320  localparam PCI_Header__INTR__ILINE__MASK = 'hff;
321  localparam PCI_Header__INTR__ILINE__DFLT = 'h0;
322 // RO: Minimal Grant
323  localparam PCI_Header__MGNT__MGNT__ADDR = 'h6f;
324  localparam PCI_Header__MGNT__MGNT__MASK = 'hff0000;
325  localparam PCI_Header__MGNT__MGNT__DFLT = 'h0;
326 // RO: Maximal Latency
327  localparam PCI_Header__MLAT__MLAT__ADDR = 'h6f;
328  localparam PCI_Header__MLAT__MLAT__MASK = 'hff000000;
329  localparam PCI_Header__MLAT__MLAT__DFLT = 'h0;
330 // RO: Next Capability pointer
331  localparam PMCAP__PID__NEXT__ADDR = 'h70;
332  localparam PMCAP__PID__NEXT__MASK = 'hff00;
333  localparam PMCAP__PID__NEXT__DFLT = 'h0;
334 // RO: This is PCI Power Management Capability
335  localparam PMCAP__PID__CID__ADDR = 'h70;
336  localparam PMCAP__PID__CID__MASK = 'hff;
337  localparam PMCAP__PID__CID__DFLT = 'h1;
338 // RO: PME_SUPPORT bits:'b01000
339  localparam PMCAP__PC__PSUP__ADDR = 'h70;
340  localparam PMCAP__PC__PSUP__MASK = 'hf8000000;
341  localparam PMCAP__PC__PSUP__DFLT = 'h40000000;
342 // RO: D2 Support - no
343  localparam PMCAP__PC__D2S__ADDR = 'h70;
344  localparam PMCAP__PC__D2S__MASK = 'h4000000;
345  localparam PMCAP__PC__D2S__DFLT = 'h0;
346 // RO: D1 Support - no
347  localparam PMCAP__PC__D1S__ADDR = 'h70;
348  localparam PMCAP__PC__D1S__MASK = 'h2000000;
349  localparam PMCAP__PC__D1S__DFLT = 'h0;
350 // RO: Maximal D3cold current
351  localparam PMCAP__PC__AUXC__ADDR = 'h70;
352  localparam PMCAP__PC__AUXC__MASK = 'h1c00000;
353  localparam PMCAP__PC__AUXC__DFLT = 'h0;
354 // RO: Device-specific initialization required
355  localparam PMCAP__PC__DSI__ADDR = 'h70;
356  localparam PMCAP__PC__DSI__MASK = 'h200000;
357  localparam PMCAP__PC__DSI__DFLT = 'h0;
358 // RO: PCI clock required to generate PME
359  localparam PMCAP__PC__PMEC__ADDR = 'h70;
360  localparam PMCAP__PC__PMEC__MASK = 'h80000;
361  localparam PMCAP__PC__PMEC__DFLT = 'h0;
362 // RO: Revision of Power Management Specification support version
363  localparam PMCAP__PC__VS__ADDR = 'h70;
364  localparam PMCAP__PC__VS__MASK = 'h70000;
365  localparam PMCAP__PC__VS__DFLT = 'h0;
366 // RWC: PME Status, set by hardware when HBA generates PME
367  localparam PMCAP__PMCS__PMES__ADDR = 'h71;
368  localparam PMCAP__PMCS__PMES__MASK = 'h8000;
369  localparam PMCAP__PMCS__PMES__DFLT = 'h0;
370 // RW: PME Enable
371  localparam PMCAP__PMCS__PMEE__ADDR = 'h71;
372  localparam PMCAP__PMCS__PMEE__MASK = 'h100;
373  localparam PMCAP__PMCS__PMEE__DFLT = 'h0;
374 // RW: Power State
375  localparam PMCAP__PMCS__PS__ADDR = 'h71;
376  localparam PMCAP__PMCS__PS__MASK = 'h3;
377  localparam PMCAP__PMCS__PS__DFLT = 'h0;
378 // RO: Supports 64-bit Addressing - no
379  localparam GHC__CAP__S64A__ADDR = 'h0;
380  localparam GHC__CAP__S64A__MASK = 'h80000000;
381  localparam GHC__CAP__S64A__DFLT = 'h0;
382 // RO: Supports Native Command Queuing - no
383  localparam GHC__CAP__SNCQ__ADDR = 'h0;
384  localparam GHC__CAP__SNCQ__MASK = 'h40000000;
385  localparam GHC__CAP__SNCQ__DFLT = 'h0;
386 // RO: Supports SNotification Register - no
387  localparam GHC__CAP__SSNTF__ADDR = 'h0;
388  localparam GHC__CAP__SSNTF__MASK = 'h20000000;
389  localparam GHC__CAP__SSNTF__DFLT = 'h0;
390 // RO: Supports Mechanical Presence Switch - no
391  localparam GHC__CAP__SMPS__ADDR = 'h0;
392  localparam GHC__CAP__SMPS__MASK = 'h10000000;
393  localparam GHC__CAP__SMPS__DFLT = 'h0;
394 // RO: Supports Staggered Spin-up - no
395  localparam GHC__CAP__SSS__ADDR = 'h0;
396  localparam GHC__CAP__SSS__MASK = 'h8000000;
397  localparam GHC__CAP__SSS__DFLT = 'h0;
398 // RO: Supports Aggressive Link Power Management - no
399  localparam GHC__CAP__SALP__ADDR = 'h0;
400  localparam GHC__CAP__SALP__MASK = 'h4000000;
401  localparam GHC__CAP__SALP__DFLT = 'h0;
402 // RO: Supports Activity LED - no
403  localparam GHC__CAP__SAL__ADDR = 'h0;
404  localparam GHC__CAP__SAL__MASK = 'h2000000;
405  localparam GHC__CAP__SAL__DFLT = 'h0;
406 // RO: Supports Command List Override - no (not capable of clearing BSY and DRQ bits, needs soft reset
407  localparam GHC__CAP__SCLO__ADDR = 'h0;
408  localparam GHC__CAP__SCLO__MASK = 'h1000000;
409  localparam GHC__CAP__SCLO__DFLT = 'h0;
410 // RO: Interface Maximal speed: 2 - Gen2, 3 - Gen3
411  localparam GHC__CAP__ISS__ADDR = 'h0;
412  localparam GHC__CAP__ISS__MASK = 'hf00000;
413  localparam GHC__CAP__ISS__DFLT = 'h200000;
414 // RO: AHCI only (0 - legacy too)
415  localparam GHC__CAP__SAM__ADDR = 'h0;
416  localparam GHC__CAP__SAM__MASK = 'h40000;
417  localparam GHC__CAP__SAM__DFLT = 'h40000;
418 // RO: Supports Port Multiplier - no
419  localparam GHC__CAP__SPM__ADDR = 'h0;
420  localparam GHC__CAP__SPM__MASK = 'h20000;
421  localparam GHC__CAP__SPM__DFLT = 'h0;
422 // RO: Supports FIS-based switching of the Port Multiplier - no
423  localparam GHC__CAP__FBSS__ADDR = 'h0;
424  localparam GHC__CAP__FBSS__MASK = 'h10000;
425  localparam GHC__CAP__FBSS__DFLT = 'h0;
426 // RO: PIO Multiple DRQ block - no
427  localparam GHC__CAP__PMD__ADDR = 'h0;
428  localparam GHC__CAP__PMD__MASK = 'h8000;
429  localparam GHC__CAP__PMD__DFLT = 'h0;
430 // RO: Slumber State Capable - no
431  localparam GHC__CAP__SSC__ADDR = 'h0;
432  localparam GHC__CAP__SSC__MASK = 'h4000;
433  localparam GHC__CAP__SSC__DFLT = 'h0;
434 // RO: Partial State Capable - no
435  localparam GHC__CAP__PSC__ADDR = 'h0;
436  localparam GHC__CAP__PSC__MASK = 'h2000;
437  localparam GHC__CAP__PSC__DFLT = 'h0;
438 // RO: Number of Command Slots, 0-based (0 means 1?)
439  localparam GHC__CAP__NSC__ADDR = 'h0;
440  localparam GHC__CAP__NSC__MASK = 'h1f00;
441  localparam GHC__CAP__NSC__DFLT = 'h0;
442 // RO: Command Completion Coalescing - no
443  localparam GHC__CAP__CCCS__ADDR = 'h0;
444  localparam GHC__CAP__CCCS__MASK = 'h80;
445  localparam GHC__CAP__CCCS__DFLT = 'h0;
446 // RO: Enclosure Management - no
447  localparam GHC__CAP__EMS__ADDR = 'h0;
448  localparam GHC__CAP__EMS__MASK = 'h40;
449  localparam GHC__CAP__EMS__DFLT = 'h0;
450 // RO: External SATA connector - yes
451  localparam GHC__CAP__SXS__ADDR = 'h0;
452  localparam GHC__CAP__SXS__MASK = 'h20;
453  localparam GHC__CAP__SXS__DFLT = 'h20;
454 // RO: Number of Ports, 0-based (0 means 1?)
455  localparam GHC__CAP__NP__ADDR = 'h0;
456  localparam GHC__CAP__NP__MASK = 'h1f;
457  localparam GHC__CAP__NP__DFLT = 'h0;
458 // RO: AHCI enable (0 - legacy)
459  localparam GHC__GHC__AE__ADDR = 'h1;
460  localparam GHC__GHC__AE__MASK = 'h80000000;
461  localparam GHC__GHC__AE__DFLT = 'h80000000;
462 // RO: MSI Revert to Single Message
463  localparam GHC__GHC__MRSM__ADDR = 'h1;
464  localparam GHC__GHC__MRSM__MASK = 'h4;
465  localparam GHC__GHC__MRSM__DFLT = 'h0;
466 // RW: Interrupt Enable (all ports)
467  localparam GHC__GHC__IE__ADDR = 'h1;
468  localparam GHC__GHC__IE__MASK = 'h2;
469  localparam GHC__GHC__IE__DFLT = 'h0;
470 // RW1: HBA reset (COMINIT, ...). Set by software, cleared by hardware, section 10.4.3
471  localparam GHC__GHC__HR__ADDR = 'h1;
472  localparam GHC__GHC__HR__MASK = 'h1;
473  localparam GHC__GHC__HR__DFLT = 'h0;
474 // RWC: Interrupt Pending Status (per port)
475  localparam GHC__IS__IPS__ADDR = 'h2;
476  localparam GHC__IS__IPS__MASK = 'hffffffff;
477  localparam GHC__IS__IPS__DFLT = 'h0;
478 // RO: Ports Implemented
479  localparam GHC__PI__PI__ADDR = 'h3;
480  localparam GHC__PI__PI__MASK = 'hffffffff;
481  localparam GHC__PI__PI__DFLT = 'h1;
482 // RO: AHCI Major Version 1.
483  localparam GHC__VS__MJR__ADDR = 'h4;
484  localparam GHC__VS__MJR__MASK = 'hffff0000;
485  localparam GHC__VS__MJR__DFLT = 'h10000;
486 // RO: AHCI Minor Version 3.1
487  localparam GHC__VS__MNR__ADDR = 'h4;
488  localparam GHC__VS__MNR__MASK = 'hffff;
489  localparam GHC__VS__MNR__DFLT = 'h301;
490 // RO: DevSleep Entrance from Slumber Only
491  localparam GHC__CAP2__DESO__ADDR = 'h9;
492  localparam GHC__CAP2__DESO__MASK = 'h20;
493  localparam GHC__CAP2__DESO__DFLT = 'h0;
494 // RO: Supports Aggressive Device Sleep Management
495  localparam GHC__CAP2__SADM__ADDR = 'h9;
496  localparam GHC__CAP2__SADM__MASK = 'h10;
497  localparam GHC__CAP2__SADM__DFLT = 'h0;
498 // RO: Supports Device Sleep
499  localparam GHC__CAP2__SDS__ADDR = 'h9;
500  localparam GHC__CAP2__SDS__MASK = 'h8;
501  localparam GHC__CAP2__SDS__DFLT = 'h0;
502 // RO: Automatic Partial to Slumber Transitions
503  localparam GHC__CAP2__APST__ADDR = 'h9;
504  localparam GHC__CAP2__APST__MASK = 'h4;
505  localparam GHC__CAP2__APST__DFLT = 'h0;
506 // RO: NVMHCI Present (section 10.15)
507  localparam GHC__CAP2__NVMP__ADDR = 'h9;
508  localparam GHC__CAP2__NVMP__MASK = 'h2;
509  localparam GHC__CAP2__NVMP__DFLT = 'h0;
510 // RO: BIOS/OS Handoff - not supported
511  localparam GHC__CAP2__BOH__ADDR = 'h9;
512  localparam GHC__CAP2__BOH__MASK = 'h1;
513  localparam GHC__CAP2__BOH__DFLT = 'h0;
514 // RW: Command List Base Address (1KB aligned)
515  localparam HBA_PORT__PxCLB__CLB__ADDR = 'h40;
516  localparam HBA_PORT__PxCLB__CLB__MASK = 'hfffffc00;
517  localparam HBA_PORT__PxCLB__CLB__DFLT = 'h80000800;
518 // RW: Command List Base Address (1KB aligned)
519  localparam HBA_PORT__PxFB__CLB__ADDR = 'h42;
520  localparam HBA_PORT__PxFB__CLB__MASK = 'hffffff00;
521  localparam HBA_PORT__PxFB__CLB__DFLT = 'h80000c00;
522 // RWC: Cold Port Detect Status
523  localparam HBA_PORT__PxIS__CPDS__ADDR = 'h44;
524  localparam HBA_PORT__PxIS__CPDS__MASK = 'h80000000;
525  localparam HBA_PORT__PxIS__CPDS__DFLT = 'h0;
526 // RWC: Task File Error Status
527  localparam HBA_PORT__PxIS__TFES__ADDR = 'h44;
528  localparam HBA_PORT__PxIS__TFES__MASK = 'h40000000;
529  localparam HBA_PORT__PxIS__TFES__DFLT = 'h0;
530 // RWC: Host Bus (PCI) Fatal error
531  localparam HBA_PORT__PxIS__HBFS__ADDR = 'h44;
532  localparam HBA_PORT__PxIS__HBFS__MASK = 'h20000000;
533  localparam HBA_PORT__PxIS__HBFS__DFLT = 'h0;
534 // RWC: ECC error R/W system memory
535  localparam HBA_PORT__PxIS__HBDS__ADDR = 'h44;
536  localparam HBA_PORT__PxIS__HBDS__MASK = 'h10000000;
537  localparam HBA_PORT__PxIS__HBDS__DFLT = 'h0;
538 // RWC: Interface Fatal Error Status (sect. 6.1.2)
539  localparam HBA_PORT__PxIS__IFS__ADDR = 'h44;
540  localparam HBA_PORT__PxIS__IFS__MASK = 'h8000000;
541  localparam HBA_PORT__PxIS__IFS__DFLT = 'h0;
542 // RWC: Interface Non-Fatal Error Status (sect. 6.1.2)
543  localparam HBA_PORT__PxIS__INFS__ADDR = 'h44;
544  localparam HBA_PORT__PxIS__INFS__MASK = 'h4000000;
545  localparam HBA_PORT__PxIS__INFS__DFLT = 'h0;
546 // RWC: Overflow Status
547  localparam HBA_PORT__PxIS__OFS__ADDR = 'h44;
548  localparam HBA_PORT__PxIS__OFS__MASK = 'h1000000;
549  localparam HBA_PORT__PxIS__OFS__DFLT = 'h0;
550 // RWC: Incorrect Port Multiplier Status
551  localparam HBA_PORT__PxIS__IPMS__ADDR = 'h44;
552  localparam HBA_PORT__PxIS__IPMS__MASK = 'h800000;
553  localparam HBA_PORT__PxIS__IPMS__DFLT = 'h0;
554 // RO: PhyRdy changed Status
555  localparam HBA_PORT__PxIS__PRCS__ADDR = 'h44;
556  localparam HBA_PORT__PxIS__PRCS__MASK = 'h400000;
557  localparam HBA_PORT__PxIS__PRCS__DFLT = 'h0;
558 // RWC: Device Mechanical Presence Status
559  localparam HBA_PORT__PxIS__DMPS__ADDR = 'h44;
560  localparam HBA_PORT__PxIS__DMPS__MASK = 'h80;
561  localparam HBA_PORT__PxIS__DMPS__DFLT = 'h0;
562 // RO: Port Connect Change Status
563  localparam HBA_PORT__PxIS__PCS__ADDR = 'h44;
564  localparam HBA_PORT__PxIS__PCS__MASK = 'h40;
565  localparam HBA_PORT__PxIS__PCS__DFLT = 'h0;
566 // RWC: Descriptor Processed
567  localparam HBA_PORT__PxIS__DPS__ADDR = 'h44;
568  localparam HBA_PORT__PxIS__DPS__MASK = 'h20;
569  localparam HBA_PORT__PxIS__DPS__DFLT = 'h0;
570 // RO: Unknown FIS
571  localparam HBA_PORT__PxIS__UFS__ADDR = 'h44;
572  localparam HBA_PORT__PxIS__UFS__MASK = 'h10;
573  localparam HBA_PORT__PxIS__UFS__DFLT = 'h0;
574 // RWC: Set Device Bits Interrupt - Set Device bits FIS with 'I' bit set
575  localparam HBA_PORT__PxIS__SDBS__ADDR = 'h44;
576  localparam HBA_PORT__PxIS__SDBS__MASK = 'h8;
577  localparam HBA_PORT__PxIS__SDBS__DFLT = 'h0;
578 // RWC: DMA Setup FIS Interrupt - DMA Setup FIS received with 'I' bit set
579  localparam HBA_PORT__PxIS__DSS__ADDR = 'h44;
580  localparam HBA_PORT__PxIS__DSS__MASK = 'h4;
581  localparam HBA_PORT__PxIS__DSS__DFLT = 'h0;
582 // RWC: PIO Setup FIS Interrupt - PIO Setup FIS received with 'I' bit set
583  localparam HBA_PORT__PxIS__PSS__ADDR = 'h44;
584  localparam HBA_PORT__PxIS__PSS__MASK = 'h2;
585  localparam HBA_PORT__PxIS__PSS__DFLT = 'h0;
586 // RWC: D2H Register FIS Interrupt - D2H Register FIS received with 'I' bit set
587  localparam HBA_PORT__PxIS__DHRS__ADDR = 'h44;
588  localparam HBA_PORT__PxIS__DHRS__MASK = 'h1;
589  localparam HBA_PORT__PxIS__DHRS__DFLT = 'h0;
590 // RW: Cold Port Detect Enable
591  localparam HBA_PORT__PxIE__CPDE__ADDR = 'h45;
592  localparam HBA_PORT__PxIE__CPDE__MASK = 'h80000000;
593  localparam HBA_PORT__PxIE__CPDE__DFLT = 'h0;
594 // RW: Task File Error Enable
595  localparam HBA_PORT__PxIE__TFEE__ADDR = 'h45;
596  localparam HBA_PORT__PxIE__TFEE__MASK = 'h40000000;
597  localparam HBA_PORT__PxIE__TFEE__DFLT = 'h0;
598 // RW: Host Bus (PCI) Fatal Error Enable
599  localparam HBA_PORT__PxIE__HBFE__ADDR = 'h45;
600  localparam HBA_PORT__PxIE__HBFE__MASK = 'h20000000;
601  localparam HBA_PORT__PxIE__HBFE__DFLT = 'h0;
602 // RW: ECC Error R/W System Memory Enable
603  localparam HBA_PORT__PxIE__HBDE__ADDR = 'h45;
604  localparam HBA_PORT__PxIE__HBDE__MASK = 'h10000000;
605  localparam HBA_PORT__PxIE__HBDE__DFLT = 'h0;
606 // RW: Interface Fatal Error Enable (sect. 6.1.2)
607  localparam HBA_PORT__PxIE__IFE__ADDR = 'h45;
608  localparam HBA_PORT__PxIE__IFE__MASK = 'h8000000;
609  localparam HBA_PORT__PxIE__IFE__DFLT = 'h0;
610 // RW: Interface Non-Fatal Error Enable (sect. 6.1.2)
611  localparam HBA_PORT__PxIE__INFE__ADDR = 'h45;
612  localparam HBA_PORT__PxIE__INFE__MASK = 'h4000000;
613  localparam HBA_PORT__PxIE__INFE__DFLT = 'h0;
614 // RW: Overflow Enable
615  localparam HBA_PORT__PxIE__OFE__ADDR = 'h45;
616  localparam HBA_PORT__PxIE__OFE__MASK = 'h1000000;
617  localparam HBA_PORT__PxIE__OFE__DFLT = 'h0;
618 // RW: Incorrect Port Multiplier Enable
619  localparam HBA_PORT__PxIE__IPME__ADDR = 'h45;
620  localparam HBA_PORT__PxIE__IPME__MASK = 'h800000;
621  localparam HBA_PORT__PxIE__IPME__DFLT = 'h0;
622 // RW: PhyRdy changed Enable
623  localparam HBA_PORT__PxIE__PRCE__ADDR = 'h45;
624  localparam HBA_PORT__PxIE__PRCE__MASK = 'h400000;
625  localparam HBA_PORT__PxIE__PRCE__DFLT = 'h0;
626 // RO: Device Mechanical Presence Interrupt Enable
627  localparam HBA_PORT__PxIE__DMPE__ADDR = 'h45;
628  localparam HBA_PORT__PxIE__DMPE__MASK = 'h80;
629  localparam HBA_PORT__PxIE__DMPE__DFLT = 'h0;
630 // RW: Port Connect Change Interrupt Enable
631  localparam HBA_PORT__PxIE__PCE__ADDR = 'h45;
632  localparam HBA_PORT__PxIE__PCE__MASK = 'h40;
633  localparam HBA_PORT__PxIE__PCE__DFLT = 'h0;
634 // RW: Descriptor Processed Interrupt Enable
635  localparam HBA_PORT__PxIE__DPE__ADDR = 'h45;
636  localparam HBA_PORT__PxIE__DPE__MASK = 'h20;
637  localparam HBA_PORT__PxIE__DPE__DFLT = 'h0;
638 // RW: Unknown FIS
639  localparam HBA_PORT__PxIE__UFE__ADDR = 'h45;
640  localparam HBA_PORT__PxIE__UFE__MASK = 'h10;
641  localparam HBA_PORT__PxIE__UFE__DFLT = 'h0;
642 // RW: Device Bits Interrupt Enable
643  localparam HBA_PORT__PxIE__SDBE__ADDR = 'h45;
644  localparam HBA_PORT__PxIE__SDBE__MASK = 'h8;
645  localparam HBA_PORT__PxIE__SDBE__DFLT = 'h0;
646 // RW: DMA Setup FIS Interrupt Enable
647  localparam HBA_PORT__PxIE__DSE__ADDR = 'h45;
648  localparam HBA_PORT__PxIE__DSE__MASK = 'h4;
649  localparam HBA_PORT__PxIE__DSE__DFLT = 'h0;
650 // RW: PIO Setup FIS Interrupt Enable
651  localparam HBA_PORT__PxIE__PSE__ADDR = 'h45;
652  localparam HBA_PORT__PxIE__PSE__MASK = 'h2;
653  localparam HBA_PORT__PxIE__PSE__DFLT = 'h0;
654 // RW: D2H Register FIS Interrupt Enable
655  localparam HBA_PORT__PxIE__DHRE__ADDR = 'h45;
656  localparam HBA_PORT__PxIE__DHRE__MASK = 'h1;
657  localparam HBA_PORT__PxIE__DHRE__DFLT = 'h0;
658 // RW: Interface Communication Control
659  localparam HBA_PORT__PxCMD__ICC__ADDR = 'h46;
660  localparam HBA_PORT__PxCMD__ICC__MASK = 'hf0000000;
661  localparam HBA_PORT__PxCMD__ICC__DFLT = 'h0;
662 // RO: Aggressive Slumber/Partial - not implemented
663  localparam HBA_PORT__PxCMD__ASP__ADDR = 'h46;
664  localparam HBA_PORT__PxCMD__ASP__MASK = 'h8000000;
665  localparam HBA_PORT__PxCMD__ASP__DFLT = 'h0;
666 // RO: Aggressive Link Power Management Enable - not implemented
667  localparam HBA_PORT__PxCMD__ALPE__ADDR = 'h46;
668  localparam HBA_PORT__PxCMD__ALPE__MASK = 'h4000000;
669  localparam HBA_PORT__PxCMD__ALPE__DFLT = 'h0;
670 // RW: Drive LED on ATAPI enable
671  localparam HBA_PORT__PxCMD__DLAE__ADDR = 'h46;
672  localparam HBA_PORT__PxCMD__DLAE__MASK = 'h2000000;
673  localparam HBA_PORT__PxCMD__DLAE__DFLT = 'h0;
674 // RW: Device is ATAPI (for activity LED)
675  localparam HBA_PORT__PxCMD__ATAPI__ADDR = 'h46;
676  localparam HBA_PORT__PxCMD__ATAPI__MASK = 'h1000000;
677  localparam HBA_PORT__PxCMD__ATAPI__DFLT = 'h0;
678 // RW: Automatic Partial to Slumber Transitions Enabled
679  localparam HBA_PORT__PxCMD__APSTE__ADDR = 'h46;
680  localparam HBA_PORT__PxCMD__APSTE__MASK = 'h800000;
681  localparam HBA_PORT__PxCMD__APSTE__DFLT = 'h0;
682 // RO: FIS-Based Switching Capable Port - not implemented
683  localparam HBA_PORT__PxCMD__FBSCP__ADDR = 'h46;
684  localparam HBA_PORT__PxCMD__FBSCP__MASK = 'h400000;
685  localparam HBA_PORT__PxCMD__FBSCP__DFLT = 'h0;
686 // RO: External SATA port
687  localparam HBA_PORT__PxCMD__ESP__ADDR = 'h46;
688  localparam HBA_PORT__PxCMD__ESP__MASK = 'h200000;
689  localparam HBA_PORT__PxCMD__ESP__DFLT = 'h200000;
690 // RO: Cold Presence Detection
691  localparam HBA_PORT__PxCMD__CPD__ADDR = 'h46;
692  localparam HBA_PORT__PxCMD__CPD__MASK = 'h100000;
693  localparam HBA_PORT__PxCMD__CPD__DFLT = 'h0;
694 // RO: Mechanical Presence Switch Attached to Port
695  localparam HBA_PORT__PxCMD__MPSP__ADDR = 'h46;
696  localparam HBA_PORT__PxCMD__MPSP__MASK = 'h80000;
697  localparam HBA_PORT__PxCMD__MPSP__DFLT = 'h0;
698 // RO: Hot Plug Capable Port
699  localparam HBA_PORT__PxCMD__HPCP__ADDR = 'h46;
700  localparam HBA_PORT__PxCMD__HPCP__MASK = 'h40000;
701  localparam HBA_PORT__PxCMD__HPCP__DFLT = 'h40000;
702 // RW: Port Multiplier Attached - not implemented (software should write this bit)
703  localparam HBA_PORT__PxCMD__PMA__ADDR = 'h46;
704  localparam HBA_PORT__PxCMD__PMA__MASK = 'h20000;
705  localparam HBA_PORT__PxCMD__PMA__DFLT = 'h0;
706 // RO: Cold Presence State
707  localparam HBA_PORT__PxCMD__CPS__ADDR = 'h46;
708  localparam HBA_PORT__PxCMD__CPS__MASK = 'h10000;
709  localparam HBA_PORT__PxCMD__CPS__DFLT = 'h0;
710 // RO: Command List Running (section 5.3.2)
711  localparam HBA_PORT__PxCMD__CR__ADDR = 'h46;
712  localparam HBA_PORT__PxCMD__CR__MASK = 'h8000;
713  localparam HBA_PORT__PxCMD__CR__DFLT = 'h0;
714 // RO: FIS Receive Running (section 10.3.2)
715  localparam HBA_PORT__PxCMD__FR__ADDR = 'h46;
716  localparam HBA_PORT__PxCMD__FR__MASK = 'h4000;
717  localparam HBA_PORT__PxCMD__FR__DFLT = 'h0;
718 // RO: Mechanical Presence Switch State
719  localparam HBA_PORT__PxCMD__MPSS__ADDR = 'h46;
720  localparam HBA_PORT__PxCMD__MPSS__MASK = 'h2000;
721  localparam HBA_PORT__PxCMD__MPSS__DFLT = 'h0;
722 // RO: Current Command Slot (when PxCMD.ST 1-> ) should be reset to 0, when 0->1 - highest priority is 0
723  localparam HBA_PORT__PxCMD__CCS__ADDR = 'h46;
724  localparam HBA_PORT__PxCMD__CCS__MASK = 'h1f00;
725  localparam HBA_PORT__PxCMD__CCS__DFLT = 'h0;
726 // RW: FIS Receive Enable (enable after FIS memory is set)
727  localparam HBA_PORT__PxCMD__FRE__ADDR = 'h46;
728  localparam HBA_PORT__PxCMD__FRE__MASK = 'h10;
729  localparam HBA_PORT__PxCMD__FRE__DFLT = 'h0;
730 // RW1: Command List Override
731  localparam HBA_PORT__PxCMD__CLO__ADDR = 'h46;
732  localparam HBA_PORT__PxCMD__CLO__MASK = 'h8;
733  localparam HBA_PORT__PxCMD__CLO__DFLT = 'h0;
734 // RO: Power On Device (RW with Cold Presence Detection)
735  localparam HBA_PORT__PxCMD__POD__ADDR = 'h46;
736  localparam HBA_PORT__PxCMD__POD__MASK = 'h4;
737  localparam HBA_PORT__PxCMD__POD__DFLT = 'h4;
738 // RO: Spin-Up Device (RW with Staggered Spin-Up Support)
739  localparam HBA_PORT__PxCMD__SUD__ADDR = 'h46;
740  localparam HBA_PORT__PxCMD__SUD__MASK = 'h2;
741  localparam HBA_PORT__PxCMD__SUD__DFLT = 'h2;
742 // RW: Start (HBA may process commands). See section 10.3.1
743  localparam HBA_PORT__PxCMD__ST__ADDR = 'h46;
744  localparam HBA_PORT__PxCMD__ST__MASK = 'h1;
745  localparam HBA_PORT__PxCMD__ST__DFLT = 'h0;
746 // RO: Latest Copy of Task File Error Register
747  localparam HBA_PORT__PxTFD__ERR__ADDR = 'h48;
748  localparam HBA_PORT__PxTFD__ERR__MASK = 'hff00;
749  localparam HBA_PORT__PxTFD__ERR__DFLT = 'h0;
750 // RO: Latest Copy of Task File Status Register: BSY
751  localparam HBA_PORT__PxTFD__STS__BSY__ADDR = 'h48;
752  localparam HBA_PORT__PxTFD__STS__BSY__MASK = 'h80;
753  localparam HBA_PORT__PxTFD__STS__BSY__DFLT = 'h0;
754 // RO: Latest Copy of Task File Status Register: command-specific bits 4..6
755  localparam HBA_PORT__PxTFD__STS__64__ADDR = 'h48;
756  localparam HBA_PORT__PxTFD__STS__64__MASK = 'h70;
757  localparam HBA_PORT__PxTFD__STS__64__DFLT = 'h0;
758 // RO: Latest Copy of Task File Status Register: DRQ
759  localparam HBA_PORT__PxTFD__STS__DRQ__ADDR = 'h48;
760  localparam HBA_PORT__PxTFD__STS__DRQ__MASK = 'h8;
761  localparam HBA_PORT__PxTFD__STS__DRQ__DFLT = 'h0;
762 // RO: Latest Copy of Task File Status Register: command-specific bits 1..2
763  localparam HBA_PORT__PxTFD__STS__12__ADDR = 'h48;
764  localparam HBA_PORT__PxTFD__STS__12__MASK = 'h6;
765  localparam HBA_PORT__PxTFD__STS__12__DFLT = 'h0;
766 // RO: Latest Copy of Task File Status Register: ERR
767  localparam HBA_PORT__PxTFD__STS__ERR__ADDR = 'h48;
768  localparam HBA_PORT__PxTFD__STS__ERR__MASK = 'h1;
769  localparam HBA_PORT__PxTFD__STS__ERR__DFLT = 'h0;
770 // RO: Data in the first D2H Register FIS
771  localparam HBA_PORT__PxSIG__SIG__ADDR = 'h49;
772  localparam HBA_PORT__PxSIG__SIG__MASK = 'hffffffff;
773  localparam HBA_PORT__PxSIG__SIG__DFLT = 'hffffffff;
774 // RO: Interface Power Management
775  localparam HBA_PORT__PxSSTS__IPM__ADDR = 'h4a;
776  localparam HBA_PORT__PxSSTS__IPM__MASK = 'hf00;
777  localparam HBA_PORT__PxSSTS__IPM__DFLT = 'h0;
778 // RO: Interface Speed
779  localparam HBA_PORT__PxSSTS__SPD__ADDR = 'h4a;
780  localparam HBA_PORT__PxSSTS__SPD__MASK = 'hf0;
781  localparam HBA_PORT__PxSSTS__SPD__DFLT = 'h0;
782 // RO: Device Detection (should be detected if COMINIT is received)
783  localparam HBA_PORT__PxSSTS__DET__ADDR = 'h4a;
784  localparam HBA_PORT__PxSSTS__DET__MASK = 'hf;
785  localparam HBA_PORT__PxSSTS__DET__DFLT = 'h0;
786 // RO: Port Multiplier Port - not used by AHCI
787  localparam HBA_PORT__PxSCTL__PMP__ADDR = 'h4b;
788  localparam HBA_PORT__PxSCTL__PMP__MASK = 'hf0000;
789  localparam HBA_PORT__PxSCTL__PMP__DFLT = 'h0;
790 // RO: Select Power Management - not used by AHCI
791  localparam HBA_PORT__PxSCTL__SPM__ADDR = 'h4b;
792  localparam HBA_PORT__PxSCTL__SPM__MASK = 'hf000;
793  localparam HBA_PORT__PxSCTL__SPM__DFLT = 'h0;
794 // RW: Interface Power Management Transitions Allowed
795  localparam HBA_PORT__PxSCTL__IPM__ADDR = 'h4b;
796  localparam HBA_PORT__PxSCTL__IPM__MASK = 'hf00;
797  localparam HBA_PORT__PxSCTL__IPM__DFLT = 'h0;
798 // RW: Interface Highest Speed
799  localparam HBA_PORT__PxSCTL__SPD__ADDR = 'h4b;
800  localparam HBA_PORT__PxSCTL__SPD__MASK = 'hf0;
801  localparam HBA_PORT__PxSCTL__SPD__DFLT = 'h0;
802 // RW: Device Detection Initialization
803  localparam HBA_PORT__PxSCTL__DET__ADDR = 'h4b;
804  localparam HBA_PORT__PxSCTL__DET__MASK = 'hf;
805  localparam HBA_PORT__PxSCTL__DET__DFLT = 'h0;
806 // RWC: Exchanged (set on COMINIT), reflected in PxIS.PCS
807  localparam HBA_PORT__PxSERR__DIAG__X__ADDR = 'h4c;
808  localparam HBA_PORT__PxSERR__DIAG__X__MASK = 'h4000000;
809  localparam HBA_PORT__PxSERR__DIAG__X__DFLT = 'h0;
810 // RWC: Unknown FIS
811  localparam HBA_PORT__PxSERR__DIAG__F__ADDR = 'h4c;
812  localparam HBA_PORT__PxSERR__DIAG__F__MASK = 'h2000000;
813  localparam HBA_PORT__PxSERR__DIAG__F__DFLT = 'h0;
814 // RWC: Transport state transition error
815  localparam HBA_PORT__PxSERR__DIAG__T__ADDR = 'h4c;
816  localparam HBA_PORT__PxSERR__DIAG__T__MASK = 'h1000000;
817  localparam HBA_PORT__PxSERR__DIAG__T__DFLT = 'h0;
818 // RWC: Link sequence error
819  localparam HBA_PORT__PxSERR__DIAG__S__ADDR = 'h4c;
820  localparam HBA_PORT__PxSERR__DIAG__S__MASK = 'h800000;
821  localparam HBA_PORT__PxSERR__DIAG__S__DFLT = 'h0;
822 // RWC: Handshake Error (i.e. Device got CRC error)
823  localparam HBA_PORT__PxSERR__DIAG__H__ADDR = 'h4c;
824  localparam HBA_PORT__PxSERR__DIAG__H__MASK = 'h400000;
825  localparam HBA_PORT__PxSERR__DIAG__H__DFLT = 'h0;
826 // RWC: CRC error in Link layer
827  localparam HBA_PORT__PxSERR__DIAG__C__ADDR = 'h4c;
828  localparam HBA_PORT__PxSERR__DIAG__C__MASK = 'h200000;
829  localparam HBA_PORT__PxSERR__DIAG__C__DFLT = 'h0;
830 // RWC: Disparity Error - not used by AHCI
831  localparam HBA_PORT__PxSERR__DIAG__D__ADDR = 'h4c;
832  localparam HBA_PORT__PxSERR__DIAG__D__MASK = 'h100000;
833  localparam HBA_PORT__PxSERR__DIAG__D__DFLT = 'h0;
834 // RWC: 10B to 8B decode error
835  localparam HBA_PORT__PxSERR__DIAG__B__ADDR = 'h4c;
836  localparam HBA_PORT__PxSERR__DIAG__B__MASK = 'h80000;
837  localparam HBA_PORT__PxSERR__DIAG__B__DFLT = 'h0;
838 // RWC: COMMWAKE signal was detected
839  localparam HBA_PORT__PxSERR__DIAG__W__ADDR = 'h4c;
840  localparam HBA_PORT__PxSERR__DIAG__W__MASK = 'h40000;
841  localparam HBA_PORT__PxSERR__DIAG__W__DFLT = 'h0;
842 // RWC: PHY Internal Error
843  localparam HBA_PORT__PxSERR__DIAG__I__ADDR = 'h4c;
844  localparam HBA_PORT__PxSERR__DIAG__I__MASK = 'h20000;
845  localparam HBA_PORT__PxSERR__DIAG__I__DFLT = 'h0;
846 // RWC: PhyRdy changed. Reflected in PxIS.PRCS bit.
847  localparam HBA_PORT__PxSERR__DIAG__N__ADDR = 'h4c;
848  localparam HBA_PORT__PxSERR__DIAG__N__MASK = 'h10000;
849  localparam HBA_PORT__PxSERR__DIAG__N__DFLT = 'h0;
850 // RWC: Internal Error
851  localparam HBA_PORT__PxSERR__ERR__E__ADDR = 'h4c;
852  localparam HBA_PORT__PxSERR__ERR__E__MASK = 'h800;
853  localparam HBA_PORT__PxSERR__ERR__E__DFLT = 'h0;
854 // RWC: Protocol Error - a violation of SATA protocol detected
855  localparam HBA_PORT__PxSERR__ERR__P__ADDR = 'h4c;
856  localparam HBA_PORT__PxSERR__ERR__P__MASK = 'h400;
857  localparam HBA_PORT__PxSERR__ERR__P__DFLT = 'h0;
858 // RWC: Persistent Communication or Data Integrity Error
859  localparam HBA_PORT__PxSERR__ERR__C__ADDR = 'h4c;
860  localparam HBA_PORT__PxSERR__ERR__C__MASK = 'h200;
861  localparam HBA_PORT__PxSERR__ERR__C__DFLT = 'h0;
862 // RWC: Transient Data Integrity Error (error not recovered by the interface)
863  localparam HBA_PORT__PxSERR__ERR__T__ADDR = 'h4c;
864  localparam HBA_PORT__PxSERR__ERR__T__MASK = 'h100;
865  localparam HBA_PORT__PxSERR__ERR__T__DFLT = 'h0;
866 // RWC: Communication between the device and host was lost but re-established
867  localparam HBA_PORT__PxSERR__ERR__M__ADDR = 'h4c;
868  localparam HBA_PORT__PxSERR__ERR__M__MASK = 'h2;
869  localparam HBA_PORT__PxSERR__ERR__M__DFLT = 'h0;
870 // RWC: Recovered Data integrity Error
871  localparam HBA_PORT__PxSERR__ERR__I__ADDR = 'h4c;
872  localparam HBA_PORT__PxSERR__ERR__I__MASK = 'h1;
873  localparam HBA_PORT__PxSERR__ERR__I__DFLT = 'h0;
874 // RW1: Device Status: bit per Port, for TAG in native queued command
875  localparam HBA_PORT__PxSACT__DS__ADDR = 'h4d;
876  localparam HBA_PORT__PxSACT__DS__MASK = 'hffffffff;
877  localparam HBA_PORT__PxSACT__DS__DFLT = 'h0;
878 // RW1: Command Issued: bit per Port, only set when PxCMD.ST==1, also cleared by PxCMD.ST: 1->0 by soft
879  localparam HBA_PORT__PxCI__CI__ADDR = 'h4e;
880  localparam HBA_PORT__PxCI__CI__MASK = 'hffffffff;
881  localparam HBA_PORT__PxCI__CI__DFLT = 'h0;
882 // RWC: PM Notify (bit per PM port)
883  localparam HBA_PORT__PxSNTF__PMN__ADDR = 'h4f;
884  localparam HBA_PORT__PxSNTF__PMN__MASK = 'hffff;
885  localparam HBA_PORT__PxSNTF__PMN__DFLT = 'h0;
886 // RO: Device with Error
887  localparam HBA_PORT__PxFBS__DWE__ADDR = 'h50;
888  localparam HBA_PORT__PxFBS__DWE__MASK = 'hf0000;
889  localparam HBA_PORT__PxFBS__DWE__DFLT = 'h0;
890 // RO: Active Device Optimization
891  localparam HBA_PORT__PxFBS__ADO__ADDR = 'h50;
892  localparam HBA_PORT__PxFBS__ADO__MASK = 'hf000;
893  localparam HBA_PORT__PxFBS__ADO__DFLT = 'h0;
894 // RW: Device To Issue
895  localparam HBA_PORT__PxFBS__DEV__ADDR = 'h50;
896  localparam HBA_PORT__PxFBS__DEV__MASK = 'hf00;
897  localparam HBA_PORT__PxFBS__DEV__DFLT = 'h0;
898 // RO: Single Device Error
899  localparam HBA_PORT__PxFBS__SDE__ADDR = 'h50;
900  localparam HBA_PORT__PxFBS__SDE__MASK = 'h4;
901  localparam HBA_PORT__PxFBS__SDE__DFLT = 'h0;
902 // RW1: Device Error Clear
903  localparam HBA_PORT__PxFBS__DEC__ADDR = 'h50;
904  localparam HBA_PORT__PxFBS__DEC__MASK = 'h2;
905  localparam HBA_PORT__PxFBS__DEC__DFLT = 'h0;
906 // RW: Enable
907  localparam HBA_PORT__PxFBS__EN__ADDR = 'h50;
908  localparam HBA_PORT__PxFBS__EN__MASK = 'h1;
909  localparam HBA_PORT__PxFBS__EN__DFLT = 'h0;
910 // RO: DITO Multiplier
911  localparam HBA_PORT__PxDEVSLP__DM__ADDR = 'h51;
912  localparam HBA_PORT__PxDEVSLP__DM__MASK = 'h1e000000;
913  localparam HBA_PORT__PxDEVSLP__DM__DFLT = 'h0;
914 // RW: Device Sleep Idle Timeout (section 8.5.1.1.1)
915  localparam HBA_PORT__PxDEVSLP__DITO__ADDR = 'h51;
916  localparam HBA_PORT__PxDEVSLP__DITO__MASK = 'h1ff8000;
917  localparam HBA_PORT__PxDEVSLP__DITO__DFLT = 'h0;
918 // RW: Minimum Device Sleep Assertion Time
919  localparam HBA_PORT__PxDEVSLP__MDAT__ADDR = 'h51;
920  localparam HBA_PORT__PxDEVSLP__MDAT__MASK = 'h7c00;
921  localparam HBA_PORT__PxDEVSLP__MDAT__DFLT = 'h0;
922 // RW: Device Sleep Exit Timeout
923  localparam HBA_PORT__PxDEVSLP__DETO__ADDR = 'h51;
924  localparam HBA_PORT__PxDEVSLP__DETO__MASK = 'h3fc;
925  localparam HBA_PORT__PxDEVSLP__DETO__DFLT = 'h0;
926 // RO: Device Sleep Present
927  localparam HBA_PORT__PxDEVSLP__DSP__ADDR = 'h51;
928  localparam HBA_PORT__PxDEVSLP__DSP__MASK = 'h2;
929  localparam HBA_PORT__PxDEVSLP__DSP__DFLT = 'h0;
930 // RO: Aggressive Device Sleep Enable
931  localparam HBA_PORT__PxDEVSLP__ADSE__ADDR = 'h51;
932  localparam HBA_PORT__PxDEVSLP__ADSE__MASK = 'h1;
933  localparam HBA_PORT__PxDEVSLP__ADSE__DFLT = 'h0;
934 // RW: SAXIHP write channel cache mode
935  localparam HBA_PORT__AFI_CACHE__WR_CM__ADDR = 'h5c;
936  localparam HBA_PORT__AFI_CACHE__WR_CM__MASK = 'hf0;
937  localparam HBA_PORT__AFI_CACHE__WR_CM__DFLT = 'h30;
938 // RW: SAXIHP read channel cache mode
939  localparam HBA_PORT__AFI_CACHE__RD_CM__ADDR = 'h5c;
940  localparam HBA_PORT__AFI_CACHE__RD_CM__MASK = 'hf;
941  localparam HBA_PORT__AFI_CACHE__RD_CM__DFLT = 'h3;
942 // RW: Address/not data for programming AHCI state machine
943  localparam HBA_PORT__PGM_AHCI_SM__AnD__ADDR = 'h5d;
944  localparam HBA_PORT__PGM_AHCI_SM__AnD__MASK = 'h1000000;
945  localparam HBA_PORT__PGM_AHCI_SM__AnD__DFLT = 'h0;
946 // RW: Program address/data for programming AHCI state machine
947  localparam HBA_PORT__PGM_AHCI_SM__PGM_AD__ADDR = 'h5d;
948  localparam HBA_PORT__PGM_AHCI_SM__PGM_AD__MASK = 'h3ffff;
949  localparam HBA_PORT__PGM_AHCI_SM__PGM_AD__DFLT = 'h0;
950 // RW: 3-bit tag to add to the recorded timestamp
951  localparam HBA_PORT__PunchTime__TAG__ADDR = 'h5e;
952  localparam HBA_PORT__PunchTime__TAG__MASK = 'h7;
953  localparam HBA_PORT__PunchTime__TAG__DFLT = 'h0;
954 
955 
956 
957 // wire swr_GHC__IE = soft_write_en && (soft_write_addr == GHC__GHC__IE__ADDR);
958  wire swr_GHC__IS = soft_write_en && (soft_write_addr == GHC__IS__IPS__ADDR);
959  wire swr_HBA_PORT__PxCMD = soft_write_en && (soft_write_addr == HBA_PORT__PxCMD__ST__ADDR);
960  wire swr_HBA_PORT__PxIS = soft_write_en && (soft_write_addr == HBA_PORT__PxIS__CPDS__ADDR);
961  wire swr_HBA_PORT__PxIE = soft_write_en && (soft_write_addr == HBA_PORT__PxIE__CPDE__ADDR);
962  wire swr_HBA_PORT__PxSCTL = soft_write_en && (soft_write_addr == HBA_PORT__PxSCTL__SPD__ADDR);
963 // wire swr_HBA_PORT__PxSSTS = soft_write_en && (soft_write_addr == HBA_PORT__PxSSTS__SPD__ADDR);
964  wire swr_HBA_PORT__PxSERR = soft_write_en && (soft_write_addr == HBA_PORT__PxSERR__DIAG__X__ADDR);
965  wire swr_HBA_PORT__PxCI = soft_write_en && (soft_write_addr == HBA_PORT__PxCI__CI__ADDR);
966  wire swr_GHC__GHC = soft_write_en && (soft_write_addr == GHC__GHC__HR__ADDR);
967 
968  reg hba_rst_r = 1;
969  reg rst_por;
970  reg rst_hba; // @SuppressThisWarning VEditor : Unused, maybe will be used later
971  reg rst_port; // @SuppressThisWarning VEditor : Unused, maybe will be used later
972 
973 // reg ghc_ie_r;
974  reg ghc_is_r;
975  reg set_ghc_is_r; // active next cycle after one of individual non-masked bits in PxIS is set
976  reg cleared_ghc; // active next cycle after ghc[1:0] is cleared
977  reg [31:0] PxIE_r; // some bits will be unused by PxIS_MASK
978  reg [31:0] PxIS_r; // some bits will be unused by PxIS_MASK
979  reg [11:0] PxSSTS_r;
980  reg [31:0] PxSERR_r; // Assuming it is not needed for HBA, just for the software
981  reg [31:0] PxCMD_r;
982  reg pxci0_r;
983  reg [ 1:0] GHC_r; // only 2 bits are used here
984  wire ghc_ie = GHC_r[1]; // bit 1 of GHC__GHC
985 
986  reg cirq_PRC; // clear PRC bit when clearing PxSERR.DIAG.N
987  reg cirq_PC; // clear PC bit when clearing PxSERR.DIAG.X
988  wire [31:0] cirq ={32{cirq_PRC}} & HBA_PORT__PxIS__PRCS__MASK | // 'h400000;
989  {32{cirq_PC}} & HBA_PORT__PxIS__PCS__MASK; // 'h40;;};
990 
991 
992  wire [31:0] sirq = {32{sirq_TFE}} & HBA_PORT__PxIS__TFES__MASK | // 'h40000000;
993  {32{sirq_IF }} & HBA_PORT__PxIS__IFS__MASK | // 'h8000000;
994  {32{sirq_INF}} & HBA_PORT__PxIS__INFS__MASK | // 'h4000000;
995  {32{sirq_OF }} & HBA_PORT__PxIS__OFS__MASK | // 'h1000000;
996  {32{sirq_PRC}} & HBA_PORT__PxIS__PRCS__MASK | // 'h400000;
997  {32{sirq_PC & unsolicited_en}} & HBA_PORT__PxIS__PCS__MASK | // 'h40;
998  {32{sirq_DP}} & HBA_PORT__PxIS__DPS__MASK | // 'h20;
999  {32{sirq_UF }} & HBA_PORT__PxIS__UFS__MASK | // 'h10;
1000  {32{sirq_SDB}} & HBA_PORT__PxIS__SDBS__MASK | // 'h8;
1001  {32{sirq_DS }} & HBA_PORT__PxIS__DSS__MASK | // 'h4;
1002  {32{sirq_PS }} & HBA_PORT__PxIS__PSS__MASK | // 'h2;
1003  {32{sirq_DHR}} & HBA_PORT__PxIS__DHRS__MASK; // 'h1;
1004 // See if sirq_PC should also be enabled by unsolicited_en. Or not?
1005  wire [31:0] serr = {32{sirq_PC & unsolicited_en}} & HBA_PORT__PxSERR__DIAG__X__MASK | // 'h4000000;
1006  {32{sirq_UF }} & HBA_PORT__PxSERR__DIAG__F__MASK | // 'h2000000;
1007  {32{serr_DT }} & HBA_PORT__PxSERR__DIAG__T__MASK | // 'h1000000;
1008  {32{serr_DS }} & HBA_PORT__PxSERR__DIAG__S__MASK | // 'h800000;
1009  {32{serr_DH }} & HBA_PORT__PxSERR__DIAG__H__MASK | // 'h400000;
1010  {32{serr_DC }} & HBA_PORT__PxSERR__DIAG__C__MASK | // 'h200000;
1011  {32{serr_DB }} & HBA_PORT__PxSERR__DIAG__B__MASK | // 'h80000;
1012  {32{serr_DW & unsolicited_en}} & HBA_PORT__PxSERR__DIAG__W__MASK | // 'h40000;
1013  {32{serr_DI }} & HBA_PORT__PxSERR__DIAG__I__MASK | // 'h20000;
1014  {32{sirq_PRC}} & HBA_PORT__PxSERR__DIAG__N__MASK | // 'h10000;
1015 // {32{sirq_IF | sirq_INF }} & HBA_PORT__PxSERR__ERR__E__MASK | // 'h800;
1016  {32{serr_EE}} & HBA_PORT__PxSERR__ERR__E__MASK | // 'h800;
1017  {32{serr_EP }} & HBA_PORT__PxSERR__ERR__P__MASK | // 'h400;
1018 
1019  {32{serr_EC }} & HBA_PORT__PxSERR__ERR__C__MASK | // 'h200;
1020  {32{serr_ET }} & HBA_PORT__PxSERR__ERR__T__MASK | // 'h100;
1021  {32{serr_EM }} & HBA_PORT__PxSERR__ERR__M__MASK | // 'h2;
1022  {32{serr_EI }} & HBA_PORT__PxSERR__ERR__I__MASK; // 'h0;
1023 
1024 
1025  wire [11:8] sssts_ipm = ({4{ssts_ipm_active}} & 4'h1) |
1026  ({4{ssts_ipm_part}} & 4'h2) |
1027  ({4{ssts_ipm_slumb}} & 4'h6) |
1028  ({4{ssts_ipm_devsleep}} & 4'h8);
1029  wire [ 7:4] sssts_spd = ({4{ssts_spd_gen1}} & 4'h1) |
1030  ({4{ssts_spd_gen2}} & 4'h2) |
1031  ({4{ssts_spd_gen3}} & 4'h3);
1032  wire [ 3:0] sssts_det = ({4{ssts_det_dnp}} & 4'h1) |
1033  ({4{ssts_det_dp}} & 4'h3) |
1034  ({4{ssts_det_offline}} & 4'h4);
1035 
1036  reg pcmd_clear_icc_r;
1037  wire pcmd_clear_icc = !pcmd_clear_icc_r &&
1038  ((PxCMD_r & HBA_PORT__PxCMD__ICC__MASK) == 32'h10000000) &&
1039  ((PxSSTS_r & HBA_PORT__PxSSTS__IPM__MASK) == 12'h100) ;
1040  // PxSSTS_r[11:8] HBA_PORT__PxSSTS__IPM__MASK ;
1041  // to update only HBA/async changed bits (not by the software)
1042 
1047 
1048  reg pxci_changed;
1049  reg ssts_changed;
1050  reg serr_changed;
1051  reg sirq_changed;
1052  reg pxcmd_changed;
1053  reg ghc_is_changed;
1054  reg ghc_ghc_changed;
1055 
1056 // wire [5:0] regs_changed={pxcmd_changed, serr_changed, ssts_changed, pxci_changed, sirq_changed,ghc_is_changed };
1058 
1059 // wire [5:0] update;
1060  reg [6:1] updating;
1061  wire [6:0] update_first = {7{update_all}} &
1062  {regs_changed[6] && ~(|regs_changed[5:0]),
1063  regs_changed[5] && ~(|regs_changed[4:0]),
1064  regs_changed[4] && ~(|regs_changed[3:0]),
1065  regs_changed[3] && ~(|regs_changed[2:0]),
1066  regs_changed[2] && ~(|regs_changed[1:0]),
1067  regs_changed[1] && ~ regs_changed[0],
1068  regs_changed[0]};
1069  wire [6:1] update_next = {updating[6] && ~(|updating[5:1]),
1070  updating[5] && ~(|updating[4:1]),
1071  updating[4] && ~(|updating[3:1]),
1072  updating[3] && ~(|updating[2:1]),
1073  updating[2] && ~ updating[1],
1074  updating[1]};
1075 
1076 
1077  wire update_GHC__IS = update_gis || update_first[0];
1084 
1085  reg pfsm_started_r;
1086  reg unsolicited_en_r;
1087 
1088  assign update_busy = (update_all && (|regs_changed)) || (|updating[6:1]);
1089  assign update_pending = | regs_changed;
1090  assign pcmd_fre = |(HBA_PORT__PxCMD__FRE__MASK & PxCMD_r);
1091  assign serr_diag_X = |(HBA_PORT__PxSERR__DIAG__X__MASK & PxSERR_r);
1092  assign ssts_det = PxSSTS_r[3:0];
1094 // assign cirq_PRC = swr_HBA_PORT__PxSERR && |(soft_write_data & HBA_PORT__PxSERR__DIAG__N__MASK);
1095 // assign cirq_PC = swr_HBA_PORT__PxSERR && |(soft_write_data & HBA_PORT__PxSERR__DIAG__X__MASK);
1096 
1097 
1098 localparam PxIE_MASK = HBA_PORT__PxIE__TFEE__MASK | // 'h40000000;
1099  HBA_PORT__PxIE__IFE__MASK | // 'h8000000;
1100  HBA_PORT__PxIE__INFE__MASK | // 'h4000000;
1101  HBA_PORT__PxIE__OFE__MASK | // 'h1000000;
1102  HBA_PORT__PxIE__PRCE__MASK | // 'h400000;
1103  HBA_PORT__PxIE__PCE__MASK | // 'h40;
1104  HBA_PORT__PxIE__DPE__MASK | // 'h20
1105  HBA_PORT__PxIE__UFE__MASK | // 'h10;
1106  HBA_PORT__PxIE__SDBE__MASK | // 'h8;
1107  HBA_PORT__PxIE__DSE__MASK | // 'h4;
1108  HBA_PORT__PxIE__PSE__MASK | // 'h2;
1109  HBA_PORT__PxIE__DHRE__MASK; // 'h1;
1110 
1111 localparam PxIS_MASK = HBA_PORT__PxIS__TFES__MASK | // 'h40000000;
1112  HBA_PORT__PxIS__IFS__MASK | // 'h8000000;
1113  HBA_PORT__PxIS__INFS__MASK | // 'h4000000;
1114  HBA_PORT__PxIS__OFS__MASK | // 'h1000000;
1115  HBA_PORT__PxIS__PRCS__MASK | // 'h400000;
1116  HBA_PORT__PxIS__PCS__MASK | // 'h40;
1117  HBA_PORT__PxIS__DPS__MASK | // 'h20
1118  HBA_PORT__PxIS__UFS__MASK | // 'h10;
1119  HBA_PORT__PxIS__SDBS__MASK | // 'h8;
1120  HBA_PORT__PxIS__DSS__MASK | // 'h4;
1121  HBA_PORT__PxIS__PSS__MASK | // 'h2;
1122  HBA_PORT__PxIS__DHRS__MASK; // 'h1;
1123 
1124 localparam PxSERR_MASK = HBA_PORT__PxSERR__DIAG__X__MASK | // 'h4000000;
1125  HBA_PORT__PxSERR__DIAG__F__MASK | // 'h2000000;
1126  HBA_PORT__PxSERR__DIAG__T__MASK | // 'h1000000;
1127  HBA_PORT__PxSERR__DIAG__S__MASK | // 'h800000;
1128  HBA_PORT__PxSERR__DIAG__H__MASK | // 'h400000;
1129  HBA_PORT__PxSERR__DIAG__C__MASK | // 'h200000;
1130  HBA_PORT__PxSERR__DIAG__B__MASK | // 'h80000;
1131  HBA_PORT__PxSERR__DIAG__W__MASK | // 'h40000;
1132  HBA_PORT__PxSERR__DIAG__I__MASK | // 'h20000;
1133  HBA_PORT__PxSERR__DIAG__N__MASK | // 'h10000;
1134  HBA_PORT__PxSERR__ERR__E__MASK | // 'h800;
1135  HBA_PORT__PxSERR__ERR__P__MASK | // 'h400;
1136  HBA_PORT__PxSERR__ERR__C__MASK | // 'h200;
1137  HBA_PORT__PxSERR__ERR__T__MASK | // 'h100;
1138  HBA_PORT__PxSERR__ERR__M__MASK | // 'h2;
1139  HBA_PORT__PxSERR__ERR__I__MASK; // 'h0;
1140 
1141 localparam PxCMD_DFLT = HBA_PORT__PxCMD__ICC__DFLT | // 'h0;
1142  HBA_PORT__PxCMD__ASP__DFLT | // 'h0;
1143  HBA_PORT__PxCMD__ALPE__DFLT | // 'h0;
1144  HBA_PORT__PxCMD__DLAE__DFLT | // 'h0;
1145  HBA_PORT__PxCMD__ATAPI__DFLT | // 'h0;
1146  HBA_PORT__PxCMD__APSTE__DFLT | // 'h0;
1147  HBA_PORT__PxCMD__FBSCP__DFLT | // 'h0;
1148  HBA_PORT__PxCMD__ESP__DFLT | // 'h200000;
1149  HBA_PORT__PxCMD__CPD__DFLT | // 'h0;
1150  HBA_PORT__PxCMD__MPSP__DFLT | // 'h0;
1151  HBA_PORT__PxCMD__HPCP__DFLT | // 'h40000;
1152  HBA_PORT__PxCMD__PMA__DFLT | // 'h0;
1153  HBA_PORT__PxCMD__CPS__DFLT | // 'h0;
1154  HBA_PORT__PxCMD__CR__DFLT | // 'h0;
1155  HBA_PORT__PxCMD__FR__DFLT | // 'h0;
1156  HBA_PORT__PxCMD__MPSS__DFLT | // 'h0;
1157  HBA_PORT__PxCMD__CCS__DFLT | // 'h0;
1158  HBA_PORT__PxCMD__FRE__DFLT | // 'h0;
1159  HBA_PORT__PxCMD__CLO__DFLT | // 'h0;
1160  HBA_PORT__PxCMD__POD__DFLT | // 'h4;
1161  HBA_PORT__PxCMD__SUD__DFLT | // 'h2;
1162  HBA_PORT__PxCMD__ST__DFLT; // 'h0;
1163 
1164 localparam PxCMD_MASK = HBA_PORT__PxCMD__ICC__MASK | // 'hf0000000;
1165 // HBA_PORT__PxCMD__ASP__MASK | // 'h8000000;
1166 // HBA_PORT__PxCMD__ALPE__MASK | // 'h4000000;
1167 // HBA_PORT__PxCMD__DLAE__MASK | // 'h2000000;
1168 // HBA_PORT__PxCMD__ATAPI__MASK | // 'h1000000;
1169 // HBA_PORT__PxCMD__APSTE__MASK | // 'h800000;
1170 // HBA_PORT__PxCMD__FBSCP__MASK | // 'h400000;
1171  HBA_PORT__PxCMD__ESP__MASK | // 'h200000;
1172 // HBA_PORT__PxCMD__CPD__MASK | // 'h100000;
1173 // HBA_PORT__PxCMD__MPSP__MASK | // 'h80000;
1174 // HBA_PORT__PxCMD__HPCP__MASK | // 'h40000;
1175 // HBA_PORT__PxCMD__PMA__MASK | // 'h20000;
1176 // HBA_PORT__PxCMD__CPS__MASK | // 'h10000;
1177  HBA_PORT__PxCMD__CR__MASK | // 'h8000;
1178  HBA_PORT__PxCMD__FR__MASK | // 'h4000;
1179 // HBA_PORT__PxCMD__MPSS__MASK | // 'h2000;
1180 // HBA_PORT__PxCMD__CCS__MASK | // 'h1f00;
1181  HBA_PORT__PxCMD__FRE__MASK | // 'h10;
1182  HBA_PORT__PxCMD__CLO__MASK | // 'h8;
1183 // HBA_PORT__PxCMD__POD__MASK | // 'h4;
1184 // HBA_PORT__PxCMD__SUD__MASK | // 'h2;
1185  HBA_PORT__PxCMD__ST__MASK; // 'h1;
1186 
1187 
1188 
1189  assign pxci0 = pxci0_r;
1190  assign pcmd_cr = PxCMD_r[15]; // command list run - current
1191  assign pcmd_clo = PxCMD_r[3]; // causes ahci_fis_receive:clear_bsy_drq, that in turn resets this bit
1192  assign pcmd_st = PxCMD_r[0]; // current value
1193 
1194  always @(posedge mclk) begin
1195  if (mrst) unsolicited_en_r <= 0;
1196  else if (((PxSSTS_r & HBA_PORT__PxSSTS__DET__MASK) == 3) ||
1197  (sctl_det == 4)) unsolicited_en_r <= 1;
1198  end
1199 
1200 
1201  always @(posedge mclk) begin
1203  end
1204 
1205  always @(posedge mclk) begin // Here we do not have data written by soft, only the result (cleared). If bit is 0, it is
1206  // either cleared, or was 0. If it was 0, then IS bit was also 0, so clearing will not hurt.
1207  cirq_PRC <= swr_HBA_PORT__PxSERR && |(~soft_write_data & HBA_PORT__PxSERR__DIAG__N__MASK);
1208  cirq_PC <= swr_HBA_PORT__PxSERR && |(~soft_write_data & HBA_PORT__PxSERR__DIAG__X__MASK);
1209  end
1210 
1211  always @(posedge mclk) begin
1212  if (mrst) irq <= 0;
1213 // else irq <= ghc_ie_r && ghc_is_r;
1214  else irq <= ghc_ie && ghc_is_r;
1215 
1216  end
1217 
1218  // generate reset types
1219  always @ (posedge mclk) begin
1220  hba_rst_r <= mrst;
1221 
1223  rst_hba <= !mrst && hba_rst_r && was_hba_rst;
1224  rst_port <= !mrst && hba_rst_r && was_port_rst;
1225  end
1226 
1227  // GHC_IE register (just one bit)
1228 // always @(posedge mclk) begin
1229 // if (rst_por) ghc_ie_r <= 0;
1230 // else if (swr_GHC__IE) ghc_ie_r <= |(soft_write_data & GHC__GHC__IE__MASK);
1231 // end
1232 
1233  // swr_GHC__IS register (just one bit)
1234  always @(posedge mclk) begin
1235  if (mrst || hba_reset_done) ghc_is_r <= 0; // any reset?
1236  else if (set_ghc_is_r) ghc_is_r <= 1;
1237  else if (swr_GHC__IS) ghc_is_r <= soft_write_data[0];
1238  end
1239 
1240  // HBA_PORT__PxIE register
1241  always @(posedge mclk) begin
1242  if (rst_por) PxIE_r <= 0;
1244  end
1245  // HBA_PORT__PxIS register
1246  always @(posedge mclk) begin
1247  if (rst_por) PxIS_r <= 0;
1249  end
1250 
1251  // HBA_PORT__PxIE register
1252  always @(posedge mclk) begin
1253  if (rst_por) set_ghc_is_r <= 0;
1254  // TODO: Not exactly clear - when ghc_is_r should be set after being RWC? After setting some not masked new individual interrupt?
1255  else set_ghc_is_r <= |(sirq & PxIE_r) || hba_reset_done;
1256  end
1257 
1258  // GHC__GHC register
1259  always @(posedge mclk) begin
1260  if (rst_por) cleared_ghc <= 0;
1261  else cleared_ghc <= hba_reset_done;
1262 
1263  if (rst_por) GHC_r <= 0;
1264  else if (cleared_ghc) GHC_r <= 0;
1265  else if (swr_GHC__GHC) GHC_r <= soft_write_data[1:0];
1266  end
1267 
1268  // HBA_PORT__PxSSTS register - updated from the HOST only
1269  always @(posedge mclk) begin
1270  if (mrst) PxSSTS_r[11:8] <= 0;
1271  else if (set_ssts_ipm) PxSSTS_r[11:8] <= sssts_ipm[11:8];
1272 
1273  if (mrst) PxSSTS_r[ 7:4] <= 0;
1274  else if (set_ssts_spd) PxSSTS_r[ 7:4] <= sssts_spd[ 7:4];
1275 
1276  if (mrst) PxSSTS_r[ 3:0] <= 0;
1277  else if (set_ssts_det) PxSSTS_r[ 3:0] <= sssts_det[ 3:0];
1278  end
1279 
1280  // HBA_PORT__PxSCTL register - updated by the software only
1281  always @ (posedge mclk) begin
1282  if (rst_por) {sctl_ipm, sctl_spd, sctl_det} <= 0;
1284 
1286  else if (swr_HBA_PORT__PxSCTL && (soft_write_data[3:0] != sctl_det)) sctl_det_changed <= 1;
1287  end
1288 
1289  // HBA_PORT__PxSERR register
1290  always @(posedge mclk) begin
1291  if (rst_por) PxSERR_r <= 0;
1293  end
1294 
1295  // HBA_PORT__PxCI[0] register - cleared by HBA, set by software
1296  always @(posedge mclk) begin
1297  if (mrst || pxci0_clear) pxci0_r <= 0;
1298  else if (swr_HBA_PORT__PxCI) pxci0_r <= soft_write_data[0];
1299  end
1300 
1301  // HBA_PORT__PxCMD register - different behaviors of differtnt fields
1302  // use PxCMD_MASK to prevent generation of unneeded register bits
1303 
1304  always @(posedge mclk) begin
1305  if (mrst) PxCMD_r <= PxCMD_DFLT;
1307  (pcmd_clear_icc ? 0 : (PxCMD_r & HBA_PORT__PxCMD__ICC__MASK)) |
1308  (pcmd_esp ? HBA_PORT__PxCMD__ESP__MASK : 0) |
1309  (pcmd_cr_reset ? 0 : (HBA_PORT__PxCMD__CR__MASK & (pcmd_cr_set? (~0):(PxCMD_r)))) |
1310  (pcmd_fr? HBA_PORT__PxCMD__FR__MASK : 0 ) |
1311  (HBA_PORT__PxCMD__FRE__MASK & PxCMD_r) | // no HBA control
1312  (pcmd_clear_bsy_drq ? 0 : (PxCMD_r & HBA_PORT__PxCMD__CLO__MASK)) |
1313  (pcmd_clear_st ? 0 : (PxCMD_r & HBA_PORT__PxCMD__ST__MASK)) )));
1314 
1315  if (mrst) pfsm_started_r <= 0;
1316  else if (pfsm_started) pfsm_started_r <= 1;
1317 
1318  if (!pfsm_started_r) pcmd_st_cleared <= 0;
1319 // else if (swr_HBA_PORT__PxCMD) pcmd_st_cleared <= |(HBA_PORT__PxCMD__ST__MASK & PxCMD_r & ~soft_write_data);
1320  else pcmd_st_cleared <= swr_HBA_PORT__PxCMD && (|(HBA_PORT__PxCMD__ST__MASK & PxCMD_r & ~soft_write_data));
1321  end
1322 
1323 
1324 
1325  // Update AXI registers with the current local data
1326  always @ (posedge mclk) begin
1327  regs_addr <= ({ADDRESS_BITS{update_GHC__IS}} & GHC__IS__IPS__ADDR) |
1328  ({ADDRESS_BITS{update_HBA_PORT__PxIS}} & HBA_PORT__PxIS__CPDS__ADDR) |
1329  ({ADDRESS_BITS{update_HBA_PORT__PxSSTS}} & HBA_PORT__PxSSTS__SPD__ADDR) |
1330  ({ADDRESS_BITS{update_HBA_PORT__PxSERR}} & HBA_PORT__PxSERR__DIAG__X__ADDR) |
1331  ({ADDRESS_BITS{update_HBA_PORT__PxCMD}} & HBA_PORT__PxCMD__ICC__ADDR) |
1332  ({ADDRESS_BITS{update_HBA_PORT__PxCI}} & HBA_PORT__PxCI__CI__ADDR) |
1333  ({ADDRESS_BITS{update_GHC_GHC}} & GHC__GHC__AE__ADDR);
1334 //update_HBA_PORT__PxCI
1335  regs_din <= ({32{update_GHC__IS}} & {31'b0, ghc_is_r}) |
1336  ({32{update_HBA_PORT__PxIS}} & PxIS_r) |
1337  ({32{update_HBA_PORT__PxSSTS}} & {20'b0, PxSSTS_r[11:0]}) |
1338  ({32{update_HBA_PORT__PxSERR}} & PxSERR_r) |
1339  ({32{update_HBA_PORT__PxCMD}} & PxCMD_r) |
1340  ({32{update_HBA_PORT__PxCI}} & {31'b0, pxci0}) |
1341  ({32{update_GHC_GHC}} & (GHC__GHC__AE__DFLT | {30'b0, GHC_r})) ;
1342 
1344 
1345  // pending updates
1346  if (mrst) pxci_changed <= 1; //0;
1347  else if (pxci0_clear) pxci_changed <= 1;
1348  else if (update_HBA_PORT__PxCI) pxci_changed <= 0;
1349 
1350  if (mrst) ssts_changed <= 1; //0;
1351  else if (set_ssts_ipm || set_ssts_spd || set_ssts_det) ssts_changed <= 1;
1352  else if (update_HBA_PORT__PxSSTS) ssts_changed <= 0;
1353 
1354  if (mrst) serr_changed <= 1; //0;
1355  else if (|serr) serr_changed <= 1;
1356  else if (update_HBA_PORT__PxSERR) serr_changed <= 0;
1357 
1358  if (mrst) sirq_changed <= 1; //0;
1359  else if ((|sirq) || (|cirq)) sirq_changed <= 1;
1360  else if (update_HBA_PORT__PxIS) sirq_changed <= 0;
1361 
1362  if (mrst) pxcmd_changed <= 1; //0;
1363  else if (set_pxcmd) pxcmd_changed <= 1;
1364  else if (update_HBA_PORT__PxCMD) pxcmd_changed <= 0;
1365 
1366  if (mrst) ghc_is_changed <= 1; //0;
1367  else if (set_ghc_is_r) ghc_is_changed <= 1;
1368  else if (update_GHC__IS) ghc_is_changed <= 0;
1369 
1370  if (mrst) ghc_ghc_changed <= 1; //0;
1371  else if (set_ghc_is_r) ghc_ghc_changed <= 1;
1372  else if (update_GHC_GHC) ghc_ghc_changed <= 0;
1373 
1374 
1375 
1376 
1377  // updating registers if needed, 0 to 6 cycles, in priority sequence
1378  if (mrst) updating[6:1] <= 0;
1379  else if (update_all) updating[6:1] <= regs_changed[6:1] & ~update_first[6:1];
1380  else updating[6:1] <= updating[6:1] & ~ update_next[6:1];
1381 
1382  // detect software setting for PxCMD.ST 0->1 and 1->0
1383 /*
1384  if (mrst) st01_pending <= 0;
1385  else if (swr_HBA_PORT__PxCMD && (HBA_PORT__PxCMD__ST__MASK & soft_write_data & ~PxCMD_r)) st01_pending <= 1;
1386  if (st_pending_reset) st01_pending <= 0;
1387 
1388  if (mrst) st10_pending <= 0;
1389  else if (swr_HBA_PORT__PxCMD && (HBA_PORT__PxCMD__ST__MASK & ~soft_write_data & PxCMD_r)) st10_pending <= 1;
1390  if (st_pending_reset) st10_pending <= 0;
1391 */
1392  end
1393 
1394 endmodule
1395 
12761swr_HBA_PORT__PxISwire
12812update_HBA_PORT__PxCIwire
12786serrwire[31:0]
12788sssts_spdwire[7:4]
12816PxIE_MASKHBA_PORT__PxIE__TFEE__MASK | HBA_PORT__PxIE__IFE__MASK | HBA_PORT__PxIE__INFE__MASK | HBA_PORT__PxIE__OFE__MASK | HBA_PORT__PxIE__PRCE__MASK | HBA_PORT__PxIE__PCE__MASK | HBA_PORT__PxIE__DPE__MASK | HBA_PORT__PxIE__UFE__MASK | HBA_PORT__PxIE__SDBE__MASK | HBA_PORT__PxIE__DSE__MASK | HBA_PORT__PxIE__PSE__MASK | HBA_PORT__PxIE__DHRE__MASK
12817PxIS_MASKHBA_PORT__PxIS__TFES__MASK | HBA_PORT__PxIS__IFS__MASK | HBA_PORT__PxIS__INFS__MASK | HBA_PORT__PxIS__OFS__MASK | HBA_PORT__PxIS__PRCS__MASK | HBA_PORT__PxIS__PCS__MASK | HBA_PORT__PxIS__DPS__MASK | HBA_PORT__PxIS__UFS__MASK | HBA_PORT__PxIS__SDBS__MASK | HBA_PORT__PxIS__DSS__MASK | HBA_PORT__PxIS__PSS__MASK | HBA_PORT__PxIS__DHRS__MASK
12775PxIS_rreg[31:0]
12774PxIE_rreg[31:0]
[ADDRESS_BITS-1:0] 12681soft_write_addr
12785sirqwire[31:0]
12810update_HBA_PORT__PxSERRwire
reg [3:0] 12750sctl_spd
12760swr_HBA_PORT__PxCMDwire
12804updatingreg[6:1]
12806update_nextwire[6:1]
12776PxSSTS_rreg[11:0]
12787sssts_ipmwire[11:8]
[31:0] 12682soft_write_data
12784cirqwire[31:0]
reg [3:0] 12751sctl_det
12789sssts_detwire[3:0]
12778PxCMD_rreg[31:0]
12803regs_changedwire[6:0]
reg 12708pcmd_st_cleared
12820PxCMD_MASKHBA_PORT__PxCMD__ICC__MASK | HBA_PORT__PxCMD__ESP__MASK | HBA_PORT__PxCMD__CR__MASK | HBA_PORT__PxCMD__FR__MASK | HBA_PORT__PxCMD__FRE__MASK | HBA_PORT__PxCMD__CLO__MASK | HBA_PORT__PxCMD__ST__MASK
12777PxSERR_rreg[31:0]
12819PxCMD_DFLTHBA_PORT__PxCMD__ICC__DFLT | HBA_PORT__PxCMD__ASP__DFLT | HBA_PORT__PxCMD__ALPE__DFLT | HBA_PORT__PxCMD__DLAE__DFLT | HBA_PORT__PxCMD__ATAPI__DFLT | HBA_PORT__PxCMD__APSTE__DFLT | HBA_PORT__PxCMD__FBSCP__DFLT | HBA_PORT__PxCMD__ESP__DFLT | HBA_PORT__PxCMD__CPD__DFLT | HBA_PORT__PxCMD__MPSP__DFLT | HBA_PORT__PxCMD__HPCP__DFLT | HBA_PORT__PxCMD__PMA__DFLT | HBA_PORT__PxCMD__CPS__DFLT | HBA_PORT__PxCMD__CR__DFLT | HBA_PORT__PxCMD__FR__DFLT | HBA_PORT__PxCMD__MPSS__DFLT | HBA_PORT__PxCMD__CCS__DFLT | HBA_PORT__PxCMD__FRE__DFLT | HBA_PORT__PxCMD__CLO__DFLT | HBA_PORT__PxCMD__POD__DFLT | HBA_PORT__PxCMD__SUD__DFLT | HBA_PORT__PxCMD__ST__DFLT
12780GHC_rreg[1:0]
12811update_HBA_PORT__PxCMDwire
12808update_HBA_PORT__PxISwire
[3:0] 12748ssts_det
12805update_firstwire[6:0]
reg [31:0] 12686regs_din
reg 12752sctl_det_changed
12763swr_HBA_PORT__PxSCTLwire
12809update_HBA_PORT__PxSSTSwire
reg [ADDRESS_BITS-1:0] 12684regs_addr
12765swr_HBA_PORT__PxCIwire
12762swr_HBA_PORT__PxIEwire
12764swr_HBA_PORT__PxSERRwire
reg [3:0] 12749sctl_ipm
12818PxSERR_MASKHBA_PORT__PxSERR__DIAG__X__MASK | HBA_PORT__PxSERR__DIAG__F__MASK | HBA_PORT__PxSERR__DIAG__T__MASK | HBA_PORT__PxSERR__DIAG__S__MASK | HBA_PORT__PxSERR__DIAG__H__MASK | HBA_PORT__PxSERR__DIAG__C__MASK | HBA_PORT__PxSERR__DIAG__B__MASK | HBA_PORT__PxSERR__DIAG__W__MASK | HBA_PORT__PxSERR__DIAG__I__MASK | HBA_PORT__PxSERR__DIAG__N__MASK | HBA_PORT__PxSERR__ERR__E__MASK | HBA_PORT__PxSERR__ERR__P__MASK | HBA_PORT__PxSERR__ERR__C__MASK | HBA_PORT__PxSERR__ERR__T__MASK | HBA_PORT__PxSERR__ERR__M__MASK | HBA_PORT__PxSERR__ERR__I__MASK