x393  1.0
FPGAcodeforElphelNC393camera
resync_data.v
Go to the documentation of this file.
1 
41 `timescale 1ns/1ps
42 
44 #(
45  parameter integer DATA_WIDTH=16,
46  parameter integer DATA_DEPTH=4, // >= 2
47  parameter INITIAL_VALUE = 0
48 
49 ) (
50  input arst, // async reset, active high (global)
51  input srst, // same as arst, but relies on the clocks
52  input wclk, // write clock - positive edge
53  input rclk, // read clock - positive edge
54  input we, // write enable
55  input re, // read enable
56  input [DATA_WIDTH-1:0] data_in, // input data
57  output reg [DATA_WIDTH-1:0] data_out, // output data
58  output reg valid // data valid @ rclk
59  );
60  localparam integer DATA_2DEPTH=(1<<DATA_DEPTH)-1;
61  reg [DATA_WIDTH-1:0] ram [0:DATA_2DEPTH];
62  reg [DATA_DEPTH-1:0] raddr;
63  reg [DATA_DEPTH-1:0] waddr;
64 
65  reg [1:0] rrst = 3;
66 
67  always @ (posedge rclk or posedge arst) begin
68  if (arst) valid <= 0;
69  else if (srst) valid <= 0;
70  else if (&waddr[DATA_DEPTH-2:0] && we) valid <= 1; // just once set and stays until reset
71  end
72 
73 
74  always @ (posedge wclk or posedge arst) begin
75  if (arst) waddr <= 0;
76  else if (srst) waddr <= 0;
77  else if (we) waddr <= waddr + 1;
78  end
79 
80  always @ (posedge rclk or posedge arst) begin
81  if (arst) rrst <= 3;
82  else if (srst) rrst <= 3; // resync to rclk
83  else rrst <= rrst << 1;
84 
85  if (arst) raddr <= 0;
86  else if (rrst[0]) raddr <= 0;
87  else if (re || rrst[1]) raddr <= raddr + 1;
88 
89  if (arst) data_out <= INITIAL_VALUE;
90  else if (rrst[0]) data_out <= INITIAL_VALUE;
91  else if (re || rrst[1]) data_out <= ram[raddr];
92  end
93 
94  always @ (posedge wclk) begin
95  if (we) ram[waddr] <= data_in;
96  end
97 
98 endmodule
99 
integer 10732DATA_WIDTH16
Definition: resync_data.v:45
reg [DATA_WIDTH-1:0] 10742data_out
Definition: resync_data.v:57
10746raddrreg[DATA_DEPTH-1:0]
Definition: resync_data.v:62
integer 10733DATA_DEPTH4
Definition: resync_data.v:46
10734INITIAL_VALUE0
Definition: resync_data.v:47
10748rrstreg[1:0]
Definition: resync_data.v:65
[DATA_WIDTH-1:0] 10741data_in
Definition: resync_data.v:56
[0:DATA_2DEPTH] 10745ramreg[DATA_WIDTH-1:0]
Definition: resync_data.v:61
10747waddrreg[DATA_DEPTH-1:0]
Definition: resync_data.v:63
reg 10743valid
Definition: resync_data.v:58
integer 10744DATA_2DEPTH(1<<DATA_DEPTH)-1
Definition: resync_data.v:60