x393  1.0
FPGAcodeforElphelNC393camera
pri1hot16.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
41 module pri1hot16(
42  input [15:0] in,
43  output [15:0] out,
44  output some
45 );
46  assign out={
47  in[15] & ~(|in[14:0]),
48  in[14] & ~(|in[13:0]),
49  in[13] & ~(|in[12:0]),
50  in[12] & ~(|in[11:0]),
51  in[11] & ~(|in[10:0]),
52  in[10] & ~(|in[ 9:0]),
53  in[ 9] & ~(|in[ 8:0]),
54  in[ 8] & ~(|in[ 7:0]),
55  in[ 7] & ~(|in[ 6:0]),
56  in[ 6] & ~(|in[ 5:0]),
57  in[ 5] & ~(|in[ 4:0]),
58  in[ 4] & ~(|in[ 3:0]),
59  in[ 3] & ~(|in[ 2:0]),
60  in[ 2] & ~(|in[ 1:0]),
61  in[ 1] & ~(|in[ 0:0]),
62  in[ 0]
63  };
64  assign some=|in;
65 endmodule
66 
[15:0] 10719out
Definition: pri1hot16.v:43
[15:0] 10718in
Definition: pri1hot16.v:42