x393  1.0
FPGAcodeforElphelNC393camera
oddr_ds.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
41 module oddr_ds # (
42  parameter CAPACITANCE = "DONT_CARE",
43  parameter IOSTANDARD = "DIFF_SSTL15",
44  parameter SLEW = "SLOW",
45  parameter DDR_CLK_EDGE = "OPPOSITE_EDGE",
46  parameter INIT = 1'b0,
47  parameter SRTYPE = "SYNC"
48 )(
49  input clk,
50  input ce,
51  input rst,
52  input set,
53  input [1:0] din,
54  input tin, // tristate control
55  output dq,
56  output ndq
57 );
58  wire idq;
59  ODDR #(
61  .INIT(INIT),
62  .SRTYPE(SRTYPE)
63  ) ODDR_i (
64  .Q(idq), // output
65  .C(clk), // input
66  .CE(ce), // input
67  .D1(din[0]), // input
68  .D2(din[1]), // input
69  .R(rst), // input
70  .S(set) // input
71  );
72 
76  .SLEW (SLEW)
77  ) OBUFDS_i (
78  .O (dq), // output
79  .OB (ndq), // output
80  .I (idq), // input
81  .T (tin) // input
82  );
83 
84 endmodule
85 
11494dq
Definition: oddr_ds.v:55
11484SLEW"SLOW"
Definition: oddr_ds.v:44
11496idqwire
Definition: oddr_ds.v:58
11486INIT1'b0
Definition: oddr_ds.v:46
11485DDR_CLK_EDGE"OPPOSITE_EDGE"
Definition: oddr_ds.v:45
OBUFDS_i OBUFTDS
Definition: oddr_ds.v:73
[1:0] 11492din
Definition: oddr_ds.v:53
11490rst
Definition: oddr_ds.v:51
ODDR_i ODDR
Definition: oddr_ds.v:59
11483IOSTANDARD"DIFF_SSTL15"
Definition: oddr_ds.v:43
11491set
Definition: oddr_ds.v:52
11488clk
Definition: oddr_ds.v:49
11487SRTYPE"SYNC"
Definition: oddr_ds.v:47
11489ce
Definition: oddr_ds.v:50
11495ndq
Definition: oddr_ds.v:56
11493tin
Definition: oddr_ds.v:54
11482CAPACITANCE"DONT_CARE"
Definition: oddr_ds.v:42