x393  1.0
FPGAcodeforElphelNC393camera
oddr.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
41 module oddr#(
42  parameter DDR_CLK_EDGE = "OPPOSITE_EDGE",
43  parameter INIT = 1'b0,
44  parameter SRTYPE = "SYNC"
45 )(
46  input clk,
47  input ce,
48  input rst,
49  input set,
50  input [1:0] din,
51  output dq
52 );
53  /* Instance template for module ODDR **/
54  ODDR #(
56  .INIT(INIT),
57  .SRTYPE(SRTYPE)
58  ) ODDR_i (
59  .Q(dq), // output
60  .C(clk), // input
61  .CE(ce), // input
62  .D1(din[0]), // input
63  .D2(din[1]), // input
64  .R(rst), // input
65  .S(set) // input
66  );
67 
68 
69 endmodule
70 
11478rst
Definition: oddr.v:48
Definition: oddr.v:41
ODDR_i ODDR
Definition: oddr.v:54
[1:0] 11480din
Definition: oddr.v:50
11476clk
Definition: oddr.v:46
11474INIT1'b0
Definition: oddr.v:43
11477ce
Definition: oddr.v:47
11473DDR_CLK_EDGE"OPPOSITE_EDGE"
Definition: oddr.v:42
11475SRTYPE"SYNC"
Definition: oddr.v:44
11481dq
Definition: oddr.v:51
11479set
Definition: oddr.v:49