x393  1.0
FPGAcodeforElphelNC393camera
mcont_to_chnbuf_reg.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
42 parameter CHN_NUMBER=0
43 )(
44  input rst,
45  input clk,
46  input ext_buf_wr,
48  input [3:0] ext_buf_wchn, //
50  input ext_buf_wrun,
51  input [63:0] ext_buf_wdata, // valid with ext_buf_wr
52  output reg buf_wr_chn, // @ negedge mclk
53  output reg buf_wpage_nxt_chn,// @ negedge mclk
54  output reg buf_run, // @ negedge mclk
55  output reg [63:0] buf_wdata_chn // @ negedge mclk
56 );
58  reg rst_nclk = 1;
59  always @ (negedge clk) rst_nclk <= rst;
60  always @ (negedge clk) begin
61  if (rst_nclk) buf_chn_sel <= 0;
63 
64  if (rst_nclk) buf_wr_chn <= 0;
66 
67  if (rst_nclk) buf_run <= 0;
69  end
70 
71  always @ (negedge clk) begin
73  end
74 
75  always @ (negedge clk) if (buf_chn_sel && ext_buf_wr) begin
77  end
78 endmodule
79 
reg [63:0] 10702buf_wdata_chn