x393  1.0
FPGAcodeforElphelNC393camera
latch_g_ce.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
41 module latch_g_ce#(
42  parameter WIDTH = 1,
43  parameter INIT = 0,
44  parameter [0:0] IS_CLR_INVERTED = 0,
45  parameter [0:0] IS_G_INVERTED = 0
46 
47 )(
48  input rst,
49  input g,
50  input ce,
51  input [WIDTH-1: 0 ] d_in,
52  output [WIDTH-1: 0 ] q_out
53 );
54  generate
55  genvar i;
56  for (i = 0; i < WIDTH; i = i+ 1) begin:ldce_block
57  LDCE #(
58  .INIT ((INIT >> i) & 1),
61  ) ldce_i (
62  .Q (q_out[i]), // output
63  .CLR (rst), // input
64  .D (d_in[i]), // input
65  .G (g), // input
66  .GE (ce) // input
67  );
68  end
69  endgenerate
70 
71 
72 endmodule
73 
[WIDTH-1: 0 ] 11311d_in
Definition: latch_g_ce.v:51
[WIDTH-1: 0 ] 11312q_out
Definition: latch_g_ce.v:52
[0:0] 11307IS_G_INVERTED0
Definition: latch_g_ce.v:45
ldce_i LDCE[generate]
Definition: latch_g_ce.v:57
[0:0] 11306IS_CLR_INVERTED0
Definition: latch_g_ce.v:44