x393  1.0
FPGAcodeforElphelNC393camera
status_read Module Reference
Inheritance diagram for status_read:

Static Public Member Functions

Always Constructs

ALWAYS_542  ( axi_clk )
ALWAYS_543  ( axi_clk )
ALWAYS_544  ( clk )
ALWAYS_545  ( clk )

Public Attributes

Inputs

mrst  
arst  
clk  
axi_clk  
axird_pre_araddr   [AXI_RD_ADDR_BITS - 1 : 0 ]
axird_start_burst  
axird_raddr   [STATUS_DEPTH - 1 : 0 ]
axird_ren  
axird_regen  
ad   [ 7 : 0 ]
rq  

Outputs

axird_rdata   [ 31 : 0 ]
axird_selected  
start  

Parameters

STATUS_ADDR  'h0800
STATUS_ADDR_MASK  'h3c00
AXI_RD_ADDR_BITS   14
STATUS_DEPTH  integer 8
FPGA_VERSION   32 'h03930001
DATA_2DEPTH  integer (1<<STATUS_DEPTH)- 1

Signals

reg[ 31 : 0 ]  ram [ 0 :DATA_2DEPTH ]
reg[STATUS_DEPTH - 1 : 0 ]  waddr
reg  we
reg[ 31 : 0 ]  wdata
reg  rq_r
reg[ 3 : 0 ]  dstb
wire  select_w
reg  select_r
reg  select_d
wire  rd
wire  regen
reg[ 31 : 0 ]  axi_status_rdata
reg[ 31 : 0 ]  axi_status_rdata_r

Detailed Description

Definition at line 49 of file status_read.v.

Member Function Documentation

ALWAYS_542 (   axi_clk  
)
Always Construct

Definition at line 107 of file status_read.v.

ALWAYS_543 (   axi_clk  
)
Always Construct

Definition at line 111 of file status_read.v.

ALWAYS_544 (   clk  
)
Always Construct

Definition at line 118 of file status_read.v.

ALWAYS_545 (   clk  
)
Always Construct

Definition at line 156 of file status_read.v.

Member Data Documentation

STATUS_ADDR 'h0800
Parameter

Definition at line 50 of file status_read.v.

STATUS_ADDR_MASK 'h3c00
Parameter

Definition at line 51 of file status_read.v.

AXI_RD_ADDR_BITS 14
Parameter

Definition at line 52 of file status_read.v.

STATUS_DEPTH 8
Parameter

Definition at line 53 of file status_read.v.

FPGA_VERSION 32 'h03930001
Parameter

Definition at line 54 of file status_read.v.

mrst
Input

Definition at line 56 of file status_read.v.

arst
Input

Definition at line 57 of file status_read.v.

clk
Input

Definition at line 58 of file status_read.v.

axi_clk
Input

Definition at line 59 of file status_read.v.

Definition at line 60 of file status_read.v.

Definition at line 61 of file status_read.v.

axird_raddr [STATUS_DEPTH - 1 : 0 ]
Input

Definition at line 62 of file status_read.v.

axird_ren
Input

Definition at line 63 of file status_read.v.

axird_regen
Input

Definition at line 64 of file status_read.v.

axird_rdata [ 31 : 0 ]
Output

Definition at line 65 of file status_read.v.

Definition at line 66 of file status_read.v.

ad [ 7 : 0 ]
Input

Definition at line 68 of file status_read.v.

rq
Input

Definition at line 69 of file status_read.v.

start
Output

Definition at line 70 of file status_read.v.

DATA_2DEPTH (1<<STATUS_DEPTH)- 1
Parameter

Definition at line 72 of file status_read.v.

ram [ 0 :DATA_2DEPTH ]
Signal

Definition at line 73 of file status_read.v.

waddr
Signal

Definition at line 74 of file status_read.v.

we
Signal

Definition at line 75 of file status_read.v.

wdata
Signal

Definition at line 76 of file status_read.v.

rq_r
Signal

Definition at line 77 of file status_read.v.

dstb
Signal

Definition at line 78 of file status_read.v.

select_w
Signal

Definition at line 80 of file status_read.v.

select_r
Signal

Definition at line 81 of file status_read.v.

select_d
Signal

Definition at line 82 of file status_read.v.

rd
Signal

Definition at line 84 of file status_read.v.

regen
Signal

Definition at line 85 of file status_read.v.

Definition at line 86 of file status_read.v.

Definition at line 87 of file status_read.v.


The documentation for this Module was generated from the following files: