x393  1.0
FPGAcodeforElphelNC393camera
scrambler Module Reference

Static Public Member Functions

Always Constructs

ALWAYS_705  ( clk )
ALWAYS_706  ( * )

Public Attributes

Inputs

clk  wire
rst  wire
val_in  wire
data_in  wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]

Outputs

data_out  wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]

Parameters

DATA_BYTE_WIDTH   4

Signals

reg[ 15 : 0 ]  now
reg[ 31 : 0 ]  next

Detailed Description

Definition at line 43 of file scrambler.v.

Member Function Documentation

ALWAYS_705 (   clk  
)
Always Construct

Definition at line 58 of file scrambler.v.

ALWAYS_706 (   ---  
)
Always Construct

Definition at line 63 of file scrambler.v.

Member Data Documentation

DATA_BYTE_WIDTH 4
Parameter

Definition at line 44 of file scrambler.v.

clk wire
Input

Definition at line 47 of file scrambler.v.

rst wire
Input

Definition at line 48 of file scrambler.v.

val_in wire
Input

Definition at line 50 of file scrambler.v.

data_in wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]
Input

Definition at line 51 of file scrambler.v.

data_out wire [DATA_BYTE_WIDTH * 8 - 1 : 0 ]
Output

Definition at line 52 of file scrambler.v.

now
Signal

Definition at line 55 of file scrambler.v.

next
Signal

Definition at line 56 of file scrambler.v.


The documentation for this Module was generated from the following files: