x393  1.0
FPGAcodeforElphelNC393camera
ramtp_var_w_var_r Module Reference
Inheritance diagram for ramtp_var_w_var_r:
Collaboration diagram for ramtp_var_w_var_r:

Public Attributes

Inputs

clk_a  
addr_a   [ 14 -LOG2WIDTH_A : 0 ]
en_a  
regen_a  
we_a  
data_in_a   [ 9 << LOG2WIDTH_A - 3 - 1 : 0 ]
clk_b  
addr_b   [ 14 -LOG2WIDTH_B : 0 ]
en_b  
regen_b  
we_b  
data_in_b   [ 9 << LOG2WIDTH_B - 3 - 1 : 0 ]

Outputs

data_out_a   [ 9 << LOG2WIDTH_A - 3 - 1 : 0 ]
data_out_b   [ 9 << LOG2WIDTH_B - 3 - 1 : 0 ]

Parameters

REGISTERS_A  integer 0
REGISTERS_B  integer 0
LOG2WIDTH_A  integer 5
LOG2WIDTH_B  integer 5
WRITE_MODE_A  "NO_CHANGE"
12100  
PWIDTH_A  (LOG2WIDTH_A > 2 )? ( 9 << (LOG2WIDTH_A - 3 )): ( 1 << LOG2WIDTH_A
PWIDTH_B  (LOG2WIDTH_B > 2 )? ( 9 << (LOG2WIDTH_B - 3 )): ( 1 << LOG2WIDTH_B
WIDTH_A   1 << LOG2WIDTH_A
WIDTH_AP   1 << (LOG2WIDTH_A - 3
WIDTH_B   1 << LOG2WIDTH_B
WIDTH_BP   1 << (LOG2WIDTH_B - 3

Includes

ram36_declare_init.vh
ram36_pass_init.vh

Signals

wire[ 31 : 0 ]  data_out32_a
wire[ 3 : 0 ]  datap_out4_a
wire[ 31 : 0 ]  data_out32_b
wire[ 3 : 0 ]  datap_out4_b
wire[WIDTH_A + 31 : 0 ]  data_in_ext_a
wire[ 31 : 0 ]  data_in32_a
wire[WIDTH_AP + 3 : 0 ]  datap_in_ext_a
wire[ 3 : 0 ]  datap_in4_a
wire[WIDTH_B + 31 : 0 ]  data_in_ext_b
wire[ 31 : 0 ]  data_in32_b
wire[WIDTH_BP + 3 : 0 ]  datap_in_ext_b
wire[ 3 : 0 ]  datap_in4_b

Module Instances

RAMB36E1::RAMB36E1_i   Module RAMB36E1

Detailed Description

Definition at line 99 of file ramtp_var_w_var_r.v.

Member Data Documentation

REGISTERS_A 0
Parameter

Definition at line 101 of file ramtp_var_w_var_r.v.

REGISTERS_B 0
Parameter

Definition at line 102 of file ramtp_var_w_var_r.v.

LOG2WIDTH_A 5
Parameter

Definition at line 103 of file ramtp_var_w_var_r.v.

LOG2WIDTH_B 5
Parameter

Definition at line 104 of file ramtp_var_w_var_r.v.

WRITE_MODE_A "NO_CHANGE"
Parameter

Definition at line 105 of file ramtp_var_w_var_r.v.

12100
Parameter

Definition at line 106 of file ramtp_var_w_var_r.v.

clk_a
Input

Definition at line 112 of file ramtp_var_w_var_r.v.

addr_a [ 14 -LOG2WIDTH_A : 0 ]
Input

Definition at line 113 of file ramtp_var_w_var_r.v.

en_a
Input

Definition at line 114 of file ramtp_var_w_var_r.v.

regen_a
Input

Definition at line 115 of file ramtp_var_w_var_r.v.

we_a
Input

Definition at line 116 of file ramtp_var_w_var_r.v.

data_out_a [ 9 << LOG2WIDTH_A - 3 - 1 : 0 ]
Output

Definition at line 117 of file ramtp_var_w_var_r.v.

data_in_a [ 9 << LOG2WIDTH_A - 3 - 1 : 0 ]
Input

Definition at line 118 of file ramtp_var_w_var_r.v.

clk_b
Input

Definition at line 120 of file ramtp_var_w_var_r.v.

addr_b [ 14 -LOG2WIDTH_B : 0 ]
Input

Definition at line 121 of file ramtp_var_w_var_r.v.

en_b
Input

Definition at line 122 of file ramtp_var_w_var_r.v.

regen_b
Input

Definition at line 123 of file ramtp_var_w_var_r.v.

we_b
Input

Definition at line 124 of file ramtp_var_w_var_r.v.

data_out_b [ 9 << LOG2WIDTH_B - 3 - 1 : 0 ]
Output

Definition at line 125 of file ramtp_var_w_var_r.v.

data_in_b [ 9 << LOG2WIDTH_B - 3 - 1 : 0 ]
Input

Definition at line 126 of file ramtp_var_w_var_r.v.

PWIDTH_A (LOG2WIDTH_A > 2 )? ( 9 << (LOG2WIDTH_A - 3 )): ( 1 << LOG2WIDTH_A
Parameter

Definition at line 128 of file ramtp_var_w_var_r.v.

PWIDTH_B (LOG2WIDTH_B > 2 )? ( 9 << (LOG2WIDTH_B - 3 )): ( 1 << LOG2WIDTH_B
Parameter

Definition at line 129 of file ramtp_var_w_var_r.v.

WIDTH_A 1 << LOG2WIDTH_A
Parameter

Definition at line 130 of file ramtp_var_w_var_r.v.

WIDTH_AP 1 << (LOG2WIDTH_A - 3
Parameter

Definition at line 131 of file ramtp_var_w_var_r.v.

WIDTH_B 1 << LOG2WIDTH_B
Parameter

Definition at line 132 of file ramtp_var_w_var_r.v.

WIDTH_BP 1 << (LOG2WIDTH_B - 3
Parameter

Definition at line 133 of file ramtp_var_w_var_r.v.

data_out32_a
Signal

Definition at line 135 of file ramtp_var_w_var_r.v.

datap_out4_a
Signal

Definition at line 136 of file ramtp_var_w_var_r.v.

data_out32_b
Signal

Definition at line 139 of file ramtp_var_w_var_r.v.

datap_out4_b
Signal

Definition at line 140 of file ramtp_var_w_var_r.v.

data_in_ext_a
Signal

Definition at line 144 of file ramtp_var_w_var_r.v.

data_in32_a
Signal

Definition at line 145 of file ramtp_var_w_var_r.v.

Definition at line 146 of file ramtp_var_w_var_r.v.

datap_in4_a
Signal

Definition at line 147 of file ramtp_var_w_var_r.v.

data_in_ext_b
Signal

Definition at line 149 of file ramtp_var_w_var_r.v.

data_in32_b
Signal

Definition at line 150 of file ramtp_var_w_var_r.v.

Definition at line 151 of file ramtp_var_w_var_r.v.

datap_in4_b
Signal

Definition at line 152 of file ramtp_var_w_var_r.v.

ram36_declare_init.vh include
Include

Definition at line 109 of file ramtp_var_w_var_r.v.

ram36_pass_init.vh include
Include

Definition at line 177 of file ramtp_var_w_var_r.v.

RAMB36E1 RAMB36E1_i
Module Instance

Definition at line 154 of file ramtp_var_w_var_r.v.


The documentation for this Module was generated from the following files: