x393  1.0
FPGAcodeforElphelNC393camera
nmea_decoder393 Module Reference
Inheritance diagram for nmea_decoder393:

Static Public Member Functions

Always Constructs

ALWAYS_225  ( xclk )
ALWAYS_226  ( xclk )
ALWAYS_227  ( xclk )
ALWAYS_228  ( xclk )
ALWAYS_229  ( xclk )
ALWAYS_230  ( mclk )
ALWAYS_231  ( mclk )
ALWAYS_232  ( xclk )

Public Attributes

Inputs

mclk  
xclk  
we  
wa   [ 4 : 0 ]
wd   [ 7 : 0 ]
start  
rs232_wait_pause  
start_char  
ser_di  
ser_stb  
rd_stb  
ser_rst  

Outputs

nmea_sent_start   reg
rdy  
rdata   [ 15 : 0 ]
debug   [ 23 : 0 ]

Signals

reg[ 9 : 0 ]  bitnum
reg  gp_exp_bit
reg  valid
reg[ 3 : 0 ]  sentence1hot
reg  restart
reg  start_d
reg[ 3 : 0 ]  stb
reg  msb
reg  bits37
reg  bit3
reg  vfy_dollar
reg  vfy_gp
reg  vfy_sel_sent
reg  vfy_first_comma
reg  proc_fields
reg  last_vfy_gp
reg  last_vfy_sent
reg  lsbs5
reg[ 3 : 0 ]  gpxxx_addr
wire[ 3 : 1 ]  sentence1hot_pri
reg[ 1 : 0 ]  sentence
reg[ 4 : 0 ]  format_length
reg[ 4 : 0 ]  format_length_plus_7
reg[ 4 : 0 ]  format_field
wire  start_format
reg  read_format_length
reg  read_format_byte
reg  shift_format_byte
reg  format_over
reg  sentence_over
reg[ 7 : 0 ]  format_byte
reg[ 7 : 1 ]  last_byte
wire  wcomma
wire  weof
wire  wsep
reg[ 3 : 0 ]  nibble
reg[ 3 : 0 ]  nibble_pre
wire[ 7 : 0 ]  wbyte
reg  nibble_stb
reg  first_byte_in_field
reg[ 1 : 0 ]  extra_nibble
reg[ 6 : 0 ]  nibble_count
reg[ 4 : 0 ]  raddr
wire[ 3 : 0 ]  gpxxx_w_one
wire[ 7 : 0 ]  format_data
wire  w_sentence_over
reg[ 4 : 0 ]  last_word_written
reg  rdy_r
reg  save_sent_number
reg[ 7 : 0 ]  debug0
reg[ 15 : 0 ]  debug1
reg[ 15 : 0 ]  debug1_or
reg[ 3 : 0 ]  odbuf0_ram [ 0 : 31 ]
reg[ 3 : 0 ]  odbuf1_ram [ 0 : 31 ]
reg[ 3 : 0 ]  odbuf2_ram [ 0 : 31 ]
reg[ 3 : 0 ]  odbuf3_ram [ 0 : 31 ]
reg[ 3 : 0 ]  gpxxx_ram [ 0 : 3 ]
reg[ 7 : 0 ]  format_ram [ 0 : 3 ]

Detailed Description

Definition at line 41 of file nmea_decoder393.v.

Member Function Documentation

ALWAYS_225 (   xclk  
)
Always Construct

Definition at line 132 of file nmea_decoder393.v.

ALWAYS_226 (   xclk  
)
Always Construct

Definition at line 285 of file nmea_decoder393.v.

ALWAYS_227 (   xclk  
)
Always Construct

Definition at line 286 of file nmea_decoder393.v.

ALWAYS_228 (   xclk  
)
Always Construct

Definition at line 287 of file nmea_decoder393.v.

ALWAYS_229 (   xclk  
)
Always Construct

Definition at line 288 of file nmea_decoder393.v.

ALWAYS_230 (   mclk  
)
Always Construct

Definition at line 296 of file nmea_decoder393.v.

ALWAYS_231 (   mclk  
)
Always Construct

Definition at line 303 of file nmea_decoder393.v.

ALWAYS_232 (   xclk  
)
Always Construct

Definition at line 307 of file nmea_decoder393.v.

Member Data Documentation

mclk
Input

Definition at line 42 of file nmea_decoder393.v.

xclk
Input

Definition at line 43 of file nmea_decoder393.v.

we
Input

Definition at line 44 of file nmea_decoder393.v.

wa [ 4 : 0 ]
Input

Definition at line 45 of file nmea_decoder393.v.

wd [ 7 : 0 ]
Input

Definition at line 46 of file nmea_decoder393.v.

start
Input

Definition at line 47 of file nmea_decoder393.v.

Definition at line 48 of file nmea_decoder393.v.

start_char
Input

Definition at line 49 of file nmea_decoder393.v.

nmea_sent_start reg
Output

Definition at line 50 of file nmea_decoder393.v.

ser_di
Input

Definition at line 51 of file nmea_decoder393.v.

ser_stb
Input

Definition at line 52 of file nmea_decoder393.v.

rdy
Output

Definition at line 53 of file nmea_decoder393.v.

rd_stb
Input

Definition at line 54 of file nmea_decoder393.v.

rdata [ 15 : 0 ]
Output

Definition at line 55 of file nmea_decoder393.v.

ser_rst
Input

Definition at line 56 of file nmea_decoder393.v.

debug [ 23 : 0 ]
Output

Definition at line 57 of file nmea_decoder393.v.

bitnum
Signal

Definition at line 59 of file nmea_decoder393.v.

gp_exp_bit
Signal

Definition at line 60 of file nmea_decoder393.v.

valid
Signal

Definition at line 61 of file nmea_decoder393.v.

sentence1hot
Signal

Definition at line 62 of file nmea_decoder393.v.

restart
Signal

Definition at line 63 of file nmea_decoder393.v.

start_d
Signal

Definition at line 64 of file nmea_decoder393.v.

stb
Signal

Definition at line 65 of file nmea_decoder393.v.

msb
Signal

Definition at line 66 of file nmea_decoder393.v.

bits37
Signal

Definition at line 66 of file nmea_decoder393.v.

bit3
Signal

Definition at line 66 of file nmea_decoder393.v.

vfy_dollar
Signal

Definition at line 67 of file nmea_decoder393.v.

vfy_gp
Signal

Definition at line 68 of file nmea_decoder393.v.

vfy_sel_sent
Signal

Definition at line 69 of file nmea_decoder393.v.

Definition at line 70 of file nmea_decoder393.v.

proc_fields
Signal

Definition at line 71 of file nmea_decoder393.v.

last_vfy_gp
Signal

Definition at line 72 of file nmea_decoder393.v.

last_vfy_sent
Signal

Definition at line 73 of file nmea_decoder393.v.

lsbs5
Signal

Definition at line 74 of file nmea_decoder393.v.

gpxxx_addr
Signal

Definition at line 75 of file nmea_decoder393.v.

Definition at line 76 of file nmea_decoder393.v.

sentence
Signal

Definition at line 77 of file nmea_decoder393.v.

format_length
Signal

Definition at line 78 of file nmea_decoder393.v.

Definition at line 79 of file nmea_decoder393.v.

format_field
Signal

Definition at line 80 of file nmea_decoder393.v.

start_format
Signal

Definition at line 81 of file nmea_decoder393.v.

Definition at line 82 of file nmea_decoder393.v.

Definition at line 83 of file nmea_decoder393.v.

Definition at line 84 of file nmea_decoder393.v.

format_over
Signal

Definition at line 85 of file nmea_decoder393.v.

sentence_over
Signal

Definition at line 86 of file nmea_decoder393.v.

format_byte
Signal

Definition at line 87 of file nmea_decoder393.v.

last_byte
Signal

Definition at line 88 of file nmea_decoder393.v.

wcomma
Signal

Definition at line 89 of file nmea_decoder393.v.

weof
Signal

Definition at line 90 of file nmea_decoder393.v.

wsep
Signal

Definition at line 91 of file nmea_decoder393.v.

nibble
Signal

Definition at line 92 of file nmea_decoder393.v.

nibble_pre
Signal

Definition at line 93 of file nmea_decoder393.v.

wbyte
Signal

Definition at line 94 of file nmea_decoder393.v.

nibble_stb
Signal

Definition at line 95 of file nmea_decoder393.v.

Definition at line 96 of file nmea_decoder393.v.

extra_nibble
Signal

Definition at line 97 of file nmea_decoder393.v.

nibble_count
Signal

Definition at line 98 of file nmea_decoder393.v.

raddr
Signal

Definition at line 99 of file nmea_decoder393.v.

gpxxx_w_one
Signal

Definition at line 100 of file nmea_decoder393.v.

format_data
Signal

Definition at line 101 of file nmea_decoder393.v.

Definition at line 102 of file nmea_decoder393.v.

Definition at line 103 of file nmea_decoder393.v.

rdy_r
Signal

Definition at line 104 of file nmea_decoder393.v.

Definition at line 105 of file nmea_decoder393.v.

debug0
Signal

Definition at line 106 of file nmea_decoder393.v.

debug1
Signal

Definition at line 107 of file nmea_decoder393.v.

debug1_or
Signal

Definition at line 108 of file nmea_decoder393.v.

odbuf0_ram [ 0 : 31 ]
Signal

Definition at line 281 of file nmea_decoder393.v.

odbuf1_ram [ 0 : 31 ]
Signal

Definition at line 282 of file nmea_decoder393.v.

odbuf2_ram [ 0 : 31 ]
Signal

Definition at line 283 of file nmea_decoder393.v.

odbuf3_ram [ 0 : 31 ]
Signal

Definition at line 284 of file nmea_decoder393.v.

gpxxx_ram [ 0 : 3 ]
Signal

Definition at line 295 of file nmea_decoder393.v.

format_ram [ 0 : 3 ]
Signal

Definition at line 302 of file nmea_decoder393.v.


The documentation for this Module was generated from the following files: