x393  1.0
FPGAcodeforElphelNC393camera
gtx_elastic Module Reference
Inheritance diagram for gtx_elastic:
Collaboration diagram for gtx_elastic:

Static Public Member Functions

Always Constructs

ALWAYS_634  ( wclk )
ALWAYS_635  ( rclk )
ALWAYS_636  ( rclk )
ALWAYS_637  ( wclk )
ALWAYS_638  ( rclk )
ALWAYS_639  ( wclk )
ALWAYS_640  ( rclk )
ALWAYS_641  ( wclk )
ALWAYS_642  ( wclk )
ALWAYS_643  ( wclk )
ALWAYS_644  ( rclk )
ALWAYS_645  ( rclk )
ALWAYS_646  ( rclk )
ALWAYS_647  ( rclk )
ALWAYS_648  ( rclk )
ALWAYS_649  ( rclk )

Public Attributes

Inputs

rst  wire
wclk  wire
rclk  wire
isaligned_in  wire
charisk_in  wire [ 1 : 0 ]
notintable_in  wire [ 1 : 0 ]
disperror_in  wire [ 1 : 0 ]
data_in  wire [ 15 : 0 ]

Outputs

isaligned_out  wire
charisk_out  wire [ 1 : 0 ]
notintable_out  wire [ 1 : 0 ]
disperror_out  wire [ 1 : 0 ]
data_out  wire [ 15 : 0 ]
lword_strobe  wire
full  wire
empty  wire

Parameters

DEPTH_LOG2   4
OFFSET   8
HI  DEPTH_LOG2 - 1

GENERATE

GENERATE [137]  
GENERATE [144]  

Signals

wire[ 22 : 0 ]  indata
wire[ 22 : 0 ]  outdata
reg[ 22 : 0 ]  ram [1<<DEPTH_LOG2- 1 : 0 ]
wire[ 22 : 0 ]  inram
reg[ 22 : 0 ]  outram
reg[HI : 0 ]  rd_addr
reg[HI : 0 ]  wr_addr
wire[HI : 0 ]  wr_next_addr
wire[HI : 0 ]  rd_next_addr
reg[HI : 0 ]  rd_addr_gr
reg[HI : 0 ]  wr_addr_gr
reg[HI : 0 ]  rd_addr_gr_r
reg[HI : 0 ]  wr_addr_gr_r
reg[HI : 0 ]  rd_addr_gr_rr
reg[HI : 0 ]  wr_addr_gr_rr
wire[HI : 0 ]  rd_addr_r
wire[HI : 0 ]  wr_addr_r
wire  re
wire  we
[HI : 0 ]  current_offset
wire  offset_more_on_1
wire  offset_more_on_2
wire  offset_less
wire  rmv_ack_rclk
wire  state_idle_rmv
reg  state_rmv1_req
reg  state_rmv2_req
reg  state_wait_ack
wire  set_rmv1_req
wire  set_rmv2_req
wire  set_wait_ack
wire  clr_rmv1_req
wire  clr_rmv2_req
wire  clr_wait_ack
reg[ 22 : 0 ]  indata_r
wire  align_det
wire  skip_write
wire  rmv1_req_wclk
wire  rmv2_req_wclk
reg  next_prim_loaded
wire  state_bypass_rmv
reg  state_wait1_align
reg  state_skip1_align
reg  state_wait2_align
reg  state_skip2_align
reg  state_wait_next_p
reg  state_send_ack
wire  set_wait1_align
wire  set_skip1_align
wire  set_wait2_align
wire  set_skip2_align
wire  set_wait_next_p
wire  set_send_ack
wire  clr_wait1_align
wire  clr_skip1_align
wire  clr_wait2_align
wire  clr_skip2_align
wire  clr_wait_next_p
wire  clr_send_ack
reg  fifo_stable
reg  align_1st
wire  align_2nd
reg  read_align
wire  pause_read
reg  align_altern
wire[ 22 : 0 ]  align_word
reg  pause_read_r
wire  fword_strobe_correction
reg  fword_strobe

Module Instances

pulse_cross_clock::remove1_req   Module pulse_cross_clock
pulse_cross_clock::remove2_req   Module pulse_cross_clock
pulse_cross_clock::remove_ack   Module pulse_cross_clock

Detailed Description

Definition at line 39 of file gtx_elastic.v.

Member Function Documentation

ALWAYS_634 (   wclk  
)
Always Construct

Definition at line 112 of file gtx_elastic.v.

ALWAYS_635 (   rclk  
)
Always Construct

Definition at line 118 of file gtx_elastic.v.

ALWAYS_636 (   rclk  
)
Always Construct

Definition at line 124 of file gtx_elastic.v.

ALWAYS_637 (   wclk  
)
Always Construct

Definition at line 130 of file gtx_elastic.v.

ALWAYS_638 (   rclk  
)
Always Construct

Definition at line 163 of file gtx_elastic.v.

ALWAYS_639 (   wclk  
)
Always Construct

Definition at line 166 of file gtx_elastic.v.

ALWAYS_640 (   rclk  
)
Always Construct

Definition at line 222 of file gtx_elastic.v.

ALWAYS_641 (   wclk  
)
Always Construct

Definition at line 239 of file gtx_elastic.v.

ALWAYS_642 (   wclk  
)
Always Construct

Definition at line 285 of file gtx_elastic.v.

ALWAYS_643 (   wclk  
)
Always Construct

Definition at line 303 of file gtx_elastic.v.

ALWAYS_644 (   rclk  
)
Always Construct

Definition at line 354 of file gtx_elastic.v.

ALWAYS_645 (   rclk  
)
Always Construct

Definition at line 362 of file gtx_elastic.v.

ALWAYS_646 (   rclk  
)
Always Construct

Definition at line 376 of file gtx_elastic.v.

ALWAYS_647 (   rclk  
)
Always Construct

Definition at line 385 of file gtx_elastic.v.

ALWAYS_648 (   rclk  
)
Always Construct

Definition at line 394 of file gtx_elastic.v.

ALWAYS_649 (   rclk  
)
Always Construct

Definition at line 405 of file gtx_elastic.v.

Member Data Documentation

DEPTH_LOG2 4
Parameter

Definition at line 40 of file gtx_elastic.v.

OFFSET 8
Parameter

Definition at line 41 of file gtx_elastic.v.

rst wire
Input

Definition at line 44 of file gtx_elastic.v.

wclk wire
Input

Definition at line 45 of file gtx_elastic.v.

rclk wire
Input

Definition at line 46 of file gtx_elastic.v.

isaligned_in wire
Input

Definition at line 48 of file gtx_elastic.v.

charisk_in wire [ 1 : 0 ]
Input

Definition at line 49 of file gtx_elastic.v.

notintable_in wire [ 1 : 0 ]
Input

Definition at line 50 of file gtx_elastic.v.

disperror_in wire [ 1 : 0 ]
Input

Definition at line 51 of file gtx_elastic.v.

data_in wire [ 15 : 0 ]
Input

Definition at line 52 of file gtx_elastic.v.

isaligned_out wire
Output

Definition at line 54 of file gtx_elastic.v.

charisk_out wire [ 1 : 0 ]
Output

Definition at line 55 of file gtx_elastic.v.

notintable_out wire [ 1 : 0 ]
Output

Definition at line 56 of file gtx_elastic.v.

disperror_out wire [ 1 : 0 ]
Output

Definition at line 57 of file gtx_elastic.v.

data_out wire [ 15 : 0 ]
Output

Definition at line 58 of file gtx_elastic.v.

lword_strobe wire
Output

Definition at line 61 of file gtx_elastic.v.

full wire
Output

Definition at line 64 of file gtx_elastic.v.

empty wire
Output

Definition at line 65 of file gtx_elastic.v.

indata
Signal

Definition at line 68 of file gtx_elastic.v.

outdata
Signal

Definition at line 69 of file gtx_elastic.v.

HI DEPTH_LOG2 - 1
Parameter

Definition at line 77 of file gtx_elastic.v.

ram [1<<DEPTH_LOG2- 1 : 0 ]
Signal

Definition at line 82 of file gtx_elastic.v.

inram
Signal

Definition at line 84 of file gtx_elastic.v.

outram
Signal

Definition at line 85 of file gtx_elastic.v.

rd_addr
Signal

Definition at line 87 of file gtx_elastic.v.

wr_addr
Signal

Definition at line 88 of file gtx_elastic.v.

wr_next_addr
Signal

Definition at line 90 of file gtx_elastic.v.

rd_next_addr
Signal

Definition at line 91 of file gtx_elastic.v.

rd_addr_gr
Signal

Definition at line 93 of file gtx_elastic.v.

wr_addr_gr
Signal

Definition at line 94 of file gtx_elastic.v.

rd_addr_gr_r
Signal

Definition at line 96 of file gtx_elastic.v.

wr_addr_gr_r
Signal

Definition at line 97 of file gtx_elastic.v.

rd_addr_gr_rr
Signal

Definition at line 98 of file gtx_elastic.v.

wr_addr_gr_rr
Signal

Definition at line 99 of file gtx_elastic.v.

rd_addr_r
Signal

Definition at line 101 of file gtx_elastic.v.

wr_addr_r
Signal

Definition at line 102 of file gtx_elastic.v.

re
Signal

Definition at line 106 of file gtx_elastic.v.

we
Signal

Definition at line 107 of file gtx_elastic.v.

Definition at line 178 of file gtx_elastic.v.

Definition at line 182 of file gtx_elastic.v.

Definition at line 184 of file gtx_elastic.v.

offset_less
Signal

Definition at line 186 of file gtx_elastic.v.

rmv_ack_rclk
Signal

Definition at line 200 of file gtx_elastic.v.

Definition at line 202 of file gtx_elastic.v.

Definition at line 203 of file gtx_elastic.v.

Definition at line 204 of file gtx_elastic.v.

Definition at line 205 of file gtx_elastic.v.

set_rmv1_req
Signal

Definition at line 206 of file gtx_elastic.v.

set_rmv2_req
Signal

Definition at line 207 of file gtx_elastic.v.

set_wait_ack
Signal

Definition at line 208 of file gtx_elastic.v.

clr_rmv1_req
Signal

Definition at line 209 of file gtx_elastic.v.

clr_rmv2_req
Signal

Definition at line 210 of file gtx_elastic.v.

clr_wait_ack
Signal

Definition at line 211 of file gtx_elastic.v.

indata_r
Signal

Definition at line 238 of file gtx_elastic.v.

align_det
Signal

Definition at line 247 of file gtx_elastic.v.

skip_write
Signal

Definition at line 260 of file gtx_elastic.v.

rmv1_req_wclk
Signal

Definition at line 261 of file gtx_elastic.v.

rmv2_req_wclk
Signal

Definition at line 262 of file gtx_elastic.v.

Definition at line 263 of file gtx_elastic.v.

Definition at line 265 of file gtx_elastic.v.

Definition at line 266 of file gtx_elastic.v.

Definition at line 267 of file gtx_elastic.v.

Definition at line 268 of file gtx_elastic.v.

Definition at line 269 of file gtx_elastic.v.

Definition at line 270 of file gtx_elastic.v.

Definition at line 271 of file gtx_elastic.v.

Definition at line 272 of file gtx_elastic.v.

Definition at line 273 of file gtx_elastic.v.

Definition at line 274 of file gtx_elastic.v.

Definition at line 275 of file gtx_elastic.v.

Definition at line 276 of file gtx_elastic.v.

set_send_ack
Signal

Definition at line 277 of file gtx_elastic.v.

Definition at line 278 of file gtx_elastic.v.

Definition at line 279 of file gtx_elastic.v.

Definition at line 280 of file gtx_elastic.v.

Definition at line 281 of file gtx_elastic.v.

Definition at line 282 of file gtx_elastic.v.

clr_send_ack
Signal

Definition at line 283 of file gtx_elastic.v.

fifo_stable
Signal

Definition at line 353 of file gtx_elastic.v.

align_1st
Signal

Definition at line 361 of file gtx_elastic.v.

align_2nd
Signal

Definition at line 368 of file gtx_elastic.v.

read_align
Signal

Definition at line 374 of file gtx_elastic.v.

pause_read
Signal

Definition at line 375 of file gtx_elastic.v.

align_altern
Signal

Definition at line 381 of file gtx_elastic.v.

align_word
Signal

Definition at line 389 of file gtx_elastic.v.

pause_read_r
Signal

Definition at line 393 of file gtx_elastic.v.

Definition at line 400 of file gtx_elastic.v.

fword_strobe
Signal

Definition at line 401 of file gtx_elastic.v.

GENERATE [137]
GENERATE

Definition at line 137 of file gtx_elastic.v.

GENERATE [144]
GENERATE

Definition at line 144 of file gtx_elastic.v.

pulse_cross_clock remove1_req
Module Instance

Definition at line 321 of file gtx_elastic.v.

pulse_cross_clock remove2_req
Module Instance

Definition at line 329 of file gtx_elastic.v.

pulse_cross_clock remove_ack
Module Instance

Definition at line 338 of file gtx_elastic.v.


The documentation for this Module was generated from the following files: