x393  1.0
FPGAcodeforElphelNC393camera
gtx_8x10enc Module Reference
Inheritance diagram for gtx_8x10enc:
Collaboration diagram for gtx_8x10enc:

Static Public Member Functions

Always Constructs

ALWAYS_628  ( clk )
ALWAYS_629  ( clk )
ALWAYS_630  ( clk )

Public Attributes

Inputs

rst  wire
clk  wire
inisk  wire [ 1 : 0 ]
indata  wire [ 15 : 0 ]

Outputs

outdata  wire [ 19 : 0 ]

Includes

gtx_8x10enc_init.v

Signals

wire[ 8 : 0 ]  addr0
wire[ 8 : 0 ]  addr1
wire[ 31 : 0 ]  table0_out
wire[ 31 : 0 ]  table1_out
reg[ 19 : 0 ]  table0_r
reg[ 19 : 0 ]  table1_r
wire[ 19 : 0 ]  table0
wire[ 19 : 0 ]  table1
wire[ 9 : 0 ]  enc0
wire[ 9 : 0 ]  enc1
reg  disparity
wire  disparity_interm
wire  inv_disp0
wire  inv_disp1
reg[ 19 : 0 ]  outdata_l

Module Instances

ramt_var_w_var_r::encoding_table   Module ramt_var_w_var_r

Detailed Description

Definition at line 39 of file gtx_8x10enc.v.

Member Function Documentation

ALWAYS_628 (   clk  
)
Always Construct

Definition at line 64 of file gtx_8x10enc.v.

ALWAYS_629 (   clk  
)
Always Construct

Definition at line 88 of file gtx_8x10enc.v.

ALWAYS_630 (   clk  
)
Always Construct

Definition at line 100 of file gtx_8x10enc.v.

Member Data Documentation

rst wire
Input

Definition at line 40 of file gtx_8x10enc.v.

clk wire
Input

Definition at line 41 of file gtx_8x10enc.v.

inisk wire [ 1 : 0 ]
Input

Definition at line 42 of file gtx_8x10enc.v.

indata wire [ 15 : 0 ]
Input

Definition at line 43 of file gtx_8x10enc.v.

outdata wire [ 19 : 0 ]
Output

Definition at line 44 of file gtx_8x10enc.v.

addr0
Signal

Definition at line 48 of file gtx_8x10enc.v.

addr1
Signal

Definition at line 49 of file gtx_8x10enc.v.

table0_out
Signal

Definition at line 56 of file gtx_8x10enc.v.

table1_out
Signal

Definition at line 57 of file gtx_8x10enc.v.

table0_r
Signal

Definition at line 58 of file gtx_8x10enc.v.

table1_r
Signal

Definition at line 59 of file gtx_8x10enc.v.

table0
Signal

Definition at line 60 of file gtx_8x10enc.v.

table1
Signal

Definition at line 61 of file gtx_8x10enc.v.

enc0
Signal

Definition at line 70 of file gtx_8x10enc.v.

enc1
Signal

Definition at line 71 of file gtx_8x10enc.v.

disparity
Signal

Definition at line 76 of file gtx_8x10enc.v.

Definition at line 78 of file gtx_8x10enc.v.

inv_disp0
Signal

Definition at line 82 of file gtx_8x10enc.v.

inv_disp1
Signal

Definition at line 83 of file gtx_8x10enc.v.

outdata_l
Signal

Definition at line 97 of file gtx_8x10enc.v.

gtx_8x10enc_init.v include
Include

Definition at line 108 of file gtx_8x10enc.v.

ramt_var_w_var_r encoding_table
Module Instance

Definition at line 103 of file gtx_8x10enc.v.


The documentation for this Module was generated from the following files: