x393  1.0
FPGAcodeforElphelNC393camera
gtx_10x8dec Module Reference
Inheritance diagram for gtx_10x8dec:
Collaboration diagram for gtx_10x8dec:

Static Public Member Functions

Always Constructs

ALWAYS_625  ( clk )
ALWAYS_626  ( clk )
ALWAYS_627  ( clk )

Public Attributes

Inputs

rst  wire
clk  wire
indata  wire [ 19 : 0 ]

Outputs

outdata  wire [ 15 : 0 ]
outisk  wire [ 1 : 0 ]
notintable  wire [ 1 : 0 ]
disperror  wire [ 1 : 0 ]

Includes

gtx_10x8dec_init.v

Signals

wire[ 9 : 0 ]  addr0
wire[ 9 : 0 ]  addr1
wire[ 15 : 0 ]  table0_out
wire[ 15 : 0 ]  table1_out
wire[ 10 : 0 ]  table0
wire[ 10 : 0 ]  table1
reg  disparity
wire  disparity_interm
reg  disp0_r
reg  disp0_rr
reg  disp1_r
reg  disp1_rr
reg  correct_table_disp
wire  expected_disparity
wire  expected_disparity_interm
wire  inv_disp0
wire  inv_disp1
wire  table_pos_disp0
wire  table_neg_disp0
wire  table_pos_disp1
wire  table_neg_disp1

Module Instances

ramt_var_w_var_r::decoding_table   Module ramt_var_w_var_r

Detailed Description

Definition at line 39 of file gtx_10x8dec.v.

Member Function Documentation

ALWAYS_625 (   clk  
)
Always Construct

Definition at line 92 of file gtx_10x8dec.v.

ALWAYS_626 (   clk  
)
Always Construct

Definition at line 117 of file gtx_10x8dec.v.

ALWAYS_627 (   clk  
)
Always Construct

Definition at line 121 of file gtx_10x8dec.v.

Member Data Documentation

rst wire
Input

Definition at line 40 of file gtx_10x8dec.v.

clk wire
Input

Definition at line 41 of file gtx_10x8dec.v.

indata wire [ 19 : 0 ]
Input

Definition at line 42 of file gtx_10x8dec.v.

outdata wire [ 15 : 0 ]
Output

Definition at line 43 of file gtx_10x8dec.v.

outisk wire [ 1 : 0 ]
Output

Definition at line 44 of file gtx_10x8dec.v.

notintable wire [ 1 : 0 ]
Output

Definition at line 45 of file gtx_10x8dec.v.

disperror wire [ 1 : 0 ]
Output

Definition at line 46 of file gtx_10x8dec.v.

addr0
Signal

Definition at line 64 of file gtx_10x8dec.v.

addr1
Signal

Definition at line 65 of file gtx_10x8dec.v.

table0_out
Signal

Definition at line 71 of file gtx_10x8dec.v.

table1_out
Signal

Definition at line 72 of file gtx_10x8dec.v.

table0
Signal

Definition at line 73 of file gtx_10x8dec.v.

table1
Signal

Definition at line 74 of file gtx_10x8dec.v.

disparity
Signal

Definition at line 84 of file gtx_10x8dec.v.

Definition at line 86 of file gtx_10x8dec.v.

disp0_r
Signal

Definition at line 88 of file gtx_10x8dec.v.

disp0_rr
Signal

Definition at line 89 of file gtx_10x8dec.v.

disp1_r
Signal

Definition at line 90 of file gtx_10x8dec.v.

disp1_rr
Signal

Definition at line 91 of file gtx_10x8dec.v.

Definition at line 101 of file gtx_10x8dec.v.

Definition at line 102 of file gtx_10x8dec.v.

Definition at line 103 of file gtx_10x8dec.v.

inv_disp0
Signal

Definition at line 111 of file gtx_10x8dec.v.

inv_disp1
Signal

Definition at line 112 of file gtx_10x8dec.v.

Definition at line 125 of file gtx_10x8dec.v.

Definition at line 126 of file gtx_10x8dec.v.

Definition at line 127 of file gtx_10x8dec.v.

Definition at line 128 of file gtx_10x8dec.v.

gtx_10x8dec_init.v include
Include

Definition at line 145 of file gtx_10x8dec.v.

ramt_var_w_var_r decoding_table
Module Instance

Definition at line 140 of file gtx_10x8dec.v.


The documentation for this Module was generated from the following files: