x393  1.0
FPGAcodeforElphelNC393camera
fifo_sameclock_control Module Reference
Inheritance diagram for fifo_sameclock_control:

Static Public Member Functions

Always Constructs

ALWAYS_512  ( clk )

Public Attributes

Inputs

clk  
rst  
wr  
rd  

Outputs

nempty  
fill_in   [WIDTH : 0 ]
mem_wa   reg [WIDTH - 1 : 0 ]
mem_ra   reg [WIDTH - 1 : 0 ]
mem_re  
mem_regen  
over   reg
under   reg

Parameters

WIDTH   9

Signals

reg[WIDTH : 0 ]  fill_ram
reg  ramo_full
reg  rreg_full

Detailed Description

Definition at line 28 of file fifo_sameclock_control.v.

Member Function Documentation

ALWAYS_512 (   clk  
)
Always Construct

Definition at line 55 of file fifo_sameclock_control.v.

Member Data Documentation

WIDTH 9
Parameter

Definition at line 29 of file fifo_sameclock_control.v.

clk
Input

Definition at line 31 of file fifo_sameclock_control.v.

rst
Input

Definition at line 32 of file fifo_sameclock_control.v.

wr
Input

Definition at line 33 of file fifo_sameclock_control.v.

rd
Input

Definition at line 34 of file fifo_sameclock_control.v.

nempty
Output

Definition at line 35 of file fifo_sameclock_control.v.

fill_in [WIDTH : 0 ]
Output

Definition at line 36 of file fifo_sameclock_control.v.

mem_wa reg [WIDTH - 1 : 0 ]
Output

Definition at line 37 of file fifo_sameclock_control.v.

mem_ra reg [WIDTH - 1 : 0 ]
Output

Definition at line 38 of file fifo_sameclock_control.v.

mem_re
Output

Definition at line 39 of file fifo_sameclock_control.v.

mem_regen
Output

Definition at line 40 of file fifo_sameclock_control.v.

over reg
Output

Definition at line 41 of file fifo_sameclock_control.v.

under reg
Output

Definition at line 42 of file fifo_sameclock_control.v.

fill_ram
Signal

Definition at line 45 of file fifo_sameclock_control.v.

ramo_full
Signal

Definition at line 47 of file fifo_sameclock_control.v.

rreg_full
Signal

Definition at line 48 of file fifo_sameclock_control.v.


The documentation for this Module was generated from the following files: