x393  1.0
FPGAcodeforElphelNC393camera
dct1d_chen Member List

This is the complete list of members for dct1d_chen, including all inherited members.

WIDTHdct1d_chen
OUT_WIDTHdct1d_chen
B_WIDTHdct1d_chen
A_WIDTHdct1d_chen
P_WIDTHdct1d_chen
ROUND_OUTdct1d_chen
COSINE_SHIFTdct1d_chen
COS_1_16dct1d_chen
COS_2_16dct1d_chen
COS_3_16dct1d_chen
COS_4_16dct1d_chen
COS_5_16dct1d_chen
COS_6_16dct1d_chen
COS_7_16dct1d_chen
clkdct1d_chen
rstdct1d_chen
endct1d_chen
d10_32_76_54dct1d_chen
startdct1d_chen
doutdct1d_chen
pre2_start_outdct1d_chen
en_outdct1d_chen
TOTAL_RSHIFTdct1d_chen
BEFORE_SAT_WIDTHdct1d_chen
dsp_ma_bindct1d_chen
dsp_ma_ceb1_1dct1d_chen
dsp_ma_ceb2_1dct1d_chen
dsp_ma_selb_1dct1d_chen
dsp_ma_ain_1dct1d_chen
dsp_ma_cea1_1dct1d_chen
dsp_ma_cea2_1dct1d_chen
dsp_ma_din_1dct1d_chen
dsp_ma_ced_1dct1d_chen
dsp_ma_sela_1dct1d_chen
dsp_ma_en_a_1dct1d_chen
dsp_ma_en_d_1dct1d_chen
dsp_ma_sub_a_1dct1d_chen
dsp_ma_neg_m_1dct1d_chen
dsp_ma_accum_1dct1d_chen
dsp_ma_p_1dct1d_chen
dsp_ma_ceb1_2dct1d_chen
dsp_ma_ceb2_2dct1d_chen
dsp_ma_selb_2dct1d_chen
dsp_ma_ain_2dct1d_chen
dsp_ma_cea1_2dct1d_chen
dsp_ma_cea2_2dct1d_chen
dsp_ma_din_2dct1d_chen
dsp_ma_ced_2dct1d_chen
dsp_ma_sela_2dct1d_chen
dsp_ma_seld_2dct1d_chen
dsp_ma_neg_m_2dct1d_chen
dsp_ma_accum_2dct1d_chen
dsp_ma_p_2dct1d_chen
dsp_ma_p_muxdct1d_chen
dsp_ma_ain24_1dct1d_chen
dsp_ma_din24_1dct1d_chen
dsp_ma_ain24_2dct1d_chen
dsp_ma_din24_2dct1d_chen
simd_a0dct1d_chen
simd_a1dct1d_chen
simd_a2dct1d_chen
simd_a3dct1d_chen
simd_a4dct1d_chen
simd_a5dct1d_chen
simd_b0dct1d_chen
simd_b1dct1d_chen
simd_b2dct1d_chen
simd_b3dct1d_chen
simd_b4dct1d_chen
simd_b5dct1d_chen
simd_p0dct1d_chen
simd_p1dct1d_chen
simd_p2dct1d_chen
simd_p3dct1d_chen
simd_p4dct1d_chen
simd_p5dct1d_chen
simd_cea01dct1d_chen
simd_cea23dct1d_chen
simd_cea45dct1d_chen
simd_ceb01dct1d_chen
simd_ceb23dct1d_chen
simd_ceb45dct1d_chen
simd_sub01dct1d_chen
simd_sub23dct1d_chen
simd_sub45dct1d_chen
simd_cep01dct1d_chen
simd_cep23dct1d_chen
simd_cep45dct1d_chen
phasedct1d_chen
phase_cntdct1d_chen
dout_rdct1d_chen
dout_round_cdct1d_chen
dout_round_wdct1d_chen
dout_round_rdct1d_chen
dout_sat_wdct1d_chen
dout_rounddct1d_chen
per_typedct1d_chen
pre3_start_outdct1d_chen
pre_en_outdct1d_chen
TRIM_MSBdct1d_chen
NUM_DATAdsp_addsub_simdParameter
WIDTHdsp_addsub_simdParameter
clkdsp_addsub_simdInput
rstdsp_addsub_simdInput
aindsp_addsub_simdInput
bindsp_addsub_simdInput
ceadsp_addsub_simdInput
cebdsp_addsub_simdInput
subtractdsp_addsub_simdInput
cepdsp_addsub_simdInput
poutdsp_addsub_simdOutput
inmodedsp_addsub_simdSignal
alumodedsp_addsub_simdSignal
opmodedsp_addsub_simdSignal
B_WIDTHdsp_maParameter
A_WIDTHdsp_maParameter
P_WIDTHdsp_maParameter
clkdsp_maInput
rstdsp_maInput
bindsp_maInput
ceb1dsp_maInput
ceb2dsp_maInput
selbdsp_maInput
aindsp_maInput
cea1dsp_maInput
cea2dsp_maInput
dindsp_maInput
ceddsp_maInput
seladsp_maInput
selddsp_maInput
neg_mdsp_maInput
accumdsp_maInput
poutdsp_maOutput
inmodedsp_maSignal
alumodedsp_maSignal
opmodedsp_maSignal
B_WIDTHdsp_ma_preaddParameter
A_WIDTHdsp_ma_preaddParameter
P_WIDTHdsp_ma_preaddParameter
clkdsp_ma_preaddInput
rstdsp_ma_preaddInput
bindsp_ma_preaddInput
ceb1dsp_ma_preaddInput
ceb2dsp_ma_preaddInput
selbdsp_ma_preaddInput
aindsp_ma_preaddInput
cea1dsp_ma_preaddInput
cea2dsp_ma_preaddInput
dindsp_ma_preaddInput
ceddsp_ma_preaddInput
ceaddsp_ma_preaddInput
seladsp_ma_preaddInput
en_adsp_ma_preaddInput
en_ddsp_ma_preaddInput
sub_adsp_ma_preaddInput
neg_mdsp_ma_preaddInput
accumdsp_ma_preaddInput
poutdsp_ma_preaddOutput
inmodedsp_ma_preaddSignal
alumodedsp_ma_preaddSignal
opmodedsp_ma_preaddSignal
ALWAYS_197 clkdct1d_chenAlways Construct
dsp_addsub_simd.DSP48E1dsp_addsub_simdModule Instance
dsp_ma_preadd.DSP48E1dsp_ma_preaddModule Instance
dsp_ma.DSP48E1dsp_maModule Instance
dsp_addsub_simddct1d_chen
dsp_addsub_simddct1d_chen
dsp_addsub_simddct1d_chen
dsp_madct1d_chen
dsp_ma_preadddct1d_chen
GENERATE [287]dct1d_chen