x393  1.0
FPGAcodeforElphelNC393camera
cmd_encod_4mux Module Reference
Inheritance diagram for cmd_encod_4mux:

Static Public Member Functions

Always Constructs

ALWAYS_234  ( clk )
ALWAYS_235  ( clk )

Public Attributes

Inputs

mrst  
clk  
start0  
enc_cmd0   [ 31 : 0 ]
enc_wr0  
enc_done0  
start1  
enc_cmd1   [ 31 : 0 ]
enc_wr1  
enc_done1  
start2  
enc_cmd2   [ 31 : 0 ]
enc_wr2  
enc_done2  
start3  
enc_cmd3   [ 31 : 0 ]
enc_wr3  
enc_done3  

Outputs

start   reg
enc_cmd   reg [ 31 : 0 ]
enc_wr   reg
enc_done   reg

Signals

reg[ 3 : 0 ]  select
wire  start_w

Detailed Description

Definition at line 41 of file cmd_encod_4mux.v.

Member Function Documentation

ALWAYS_234 (   clk  
)
Always Construct

Definition at line 72 of file cmd_encod_4mux.v.

ALWAYS_235 (   clk  
)
Always Construct

Definition at line 84 of file cmd_encod_4mux.v.

Member Data Documentation

mrst
Input

Definition at line 42 of file cmd_encod_4mux.v.

clk
Input

Definition at line 43 of file cmd_encod_4mux.v.

start0
Input

Definition at line 45 of file cmd_encod_4mux.v.

enc_cmd0 [ 31 : 0 ]
Input

Definition at line 46 of file cmd_encod_4mux.v.

enc_wr0
Input

Definition at line 47 of file cmd_encod_4mux.v.

enc_done0
Input

Definition at line 48 of file cmd_encod_4mux.v.

start1
Input

Definition at line 50 of file cmd_encod_4mux.v.

enc_cmd1 [ 31 : 0 ]
Input

Definition at line 51 of file cmd_encod_4mux.v.

enc_wr1
Input

Definition at line 52 of file cmd_encod_4mux.v.

enc_done1
Input

Definition at line 53 of file cmd_encod_4mux.v.

start2
Input

Definition at line 55 of file cmd_encod_4mux.v.

enc_cmd2 [ 31 : 0 ]
Input

Definition at line 56 of file cmd_encod_4mux.v.

enc_wr2
Input

Definition at line 57 of file cmd_encod_4mux.v.

enc_done2
Input

Definition at line 58 of file cmd_encod_4mux.v.

start3
Input

Definition at line 60 of file cmd_encod_4mux.v.

enc_cmd3 [ 31 : 0 ]
Input

Definition at line 61 of file cmd_encod_4mux.v.

enc_wr3
Input

Definition at line 62 of file cmd_encod_4mux.v.

enc_done3
Input

Definition at line 63 of file cmd_encod_4mux.v.

start reg
Output

Definition at line 65 of file cmd_encod_4mux.v.

enc_cmd reg [ 31 : 0 ]
Output

Definition at line 66 of file cmd_encod_4mux.v.

enc_wr reg
Output

Definition at line 67 of file cmd_encod_4mux.v.

enc_done reg
Output

Definition at line 68 of file cmd_encod_4mux.v.

select
Signal

Definition at line 70 of file cmd_encod_4mux.v.

start_w
Signal

Definition at line 71 of file cmd_encod_4mux.v.


The documentation for this Module was generated from the following files: