x393  1.0
FPGAcodeforElphelNC393camera
axi_hp_abort Module Reference
Inheritance diagram for axi_hp_abort:

Static Public Member Functions

Always Constructs

ALWAYS_601  ( hclk )
ALWAYS_602  ( hclk )

Public Attributes

Inputs

hclk  
hrst  
abort  
afi_awvalid  
afi_awready  
afi_awid   [ 5 : 0 ]
afi_awlen   [ 3 : 0 ]
afi_wvalid_in  
afi_wready  
afi_arvalid  
afi_arready  
afi_arlen   [ 3 : 0 ]
afi_rready_in  
afi_rvalid  
afi_racount   [ 2 : 0 ]
afi_rcount   [ 7 : 0 ]
afi_wacount   [ 5 : 0 ]
afi_wcount   [ 7 : 0 ]

Outputs

busy  
done   reg
afi_wvalid  
afi_wid   reg [ 5 : 0 ]
afi_rready  
afi_wlast  
dirty   reg
axi_mismatch   reg
debug   [ 21 : 0 ]

Signals

reg  busy_r
wire  done_w
reg[ 3 : 0 ]  aw_lengths_ram [ 0 : 31 ]
reg[ 4 : 0 ]  aw_lengths_waddr
reg[ 4 : 0 ]  aw_lengths_raddr
reg[ 5 : 0 ]  aw_count
reg[ 7 : 0 ]  w_count
reg[ 7 : 0 ]  r_count
reg  adav
wire  arwr
wire  drd
wire  awr
reg  ard_r
wire  ard
wire  wwr
reg  afi_rready_r
reg  afi_wlast_r
reg  busy_aborting
wire  reset_counters

Detailed Description

Definition at line 32 of file axi_hp_abort.v.

Member Function Documentation

ALWAYS_601 (   hclk  
)
Always Construct

Definition at line 89 of file axi_hp_abort.v.

ALWAYS_602 (   hclk  
)
Always Construct

Definition at line 129 of file axi_hp_abort.v.

Member Data Documentation

hclk
Input

Definition at line 33 of file axi_hp_abort.v.

hrst
Input

Definition at line 34 of file axi_hp_abort.v.

abort
Input

Definition at line 35 of file axi_hp_abort.v.

busy
Output

Definition at line 36 of file axi_hp_abort.v.

done reg
Output

Definition at line 37 of file axi_hp_abort.v.

afi_awvalid
Input

Definition at line 38 of file axi_hp_abort.v.

afi_awready
Input

Definition at line 39 of file axi_hp_abort.v.

afi_awid [ 5 : 0 ]
Input

Definition at line 40 of file axi_hp_abort.v.

afi_awlen [ 3 : 0 ]
Input

Definition at line 41 of file axi_hp_abort.v.

Definition at line 42 of file axi_hp_abort.v.

afi_wready
Input

Definition at line 43 of file axi_hp_abort.v.

afi_wvalid
Output

Definition at line 44 of file axi_hp_abort.v.

afi_wid reg [ 5 : 0 ]
Output

Definition at line 45 of file axi_hp_abort.v.

afi_arvalid
Input

Definition at line 46 of file axi_hp_abort.v.

afi_arready
Input

Definition at line 47 of file axi_hp_abort.v.

afi_arlen [ 3 : 0 ]
Input

Definition at line 48 of file axi_hp_abort.v.

Definition at line 49 of file axi_hp_abort.v.

afi_rvalid
Input

Definition at line 50 of file axi_hp_abort.v.

afi_rready
Output

Definition at line 51 of file axi_hp_abort.v.

afi_wlast
Output

Definition at line 52 of file axi_hp_abort.v.

afi_racount [ 2 : 0 ]
Input

Definition at line 54 of file axi_hp_abort.v.

afi_rcount [ 7 : 0 ]
Input

Definition at line 55 of file axi_hp_abort.v.

afi_wacount [ 5 : 0 ]
Input

Definition at line 56 of file axi_hp_abort.v.

afi_wcount [ 7 : 0 ]
Input

Definition at line 57 of file axi_hp_abort.v.

dirty reg
Output

Definition at line 58 of file axi_hp_abort.v.

axi_mismatch reg
Output

Definition at line 59 of file axi_hp_abort.v.

debug [ 21 : 0 ]
Output

Definition at line 60 of file axi_hp_abort.v.

busy_r
Signal

Definition at line 62 of file axi_hp_abort.v.

done_w
Signal

Definition at line 63 of file axi_hp_abort.v.

aw_lengths_ram [ 0 : 31 ]
Signal

Definition at line 64 of file axi_hp_abort.v.

Definition at line 65 of file axi_hp_abort.v.

Definition at line 66 of file axi_hp_abort.v.

aw_count
Signal

Definition at line 67 of file axi_hp_abort.v.

w_count
Signal

Definition at line 68 of file axi_hp_abort.v.

r_count
Signal

Definition at line 69 of file axi_hp_abort.v.

adav
Signal

Definition at line 70 of file axi_hp_abort.v.

arwr
Signal

Definition at line 71 of file axi_hp_abort.v.

drd
Signal

Definition at line 72 of file axi_hp_abort.v.

awr
Signal

Definition at line 73 of file axi_hp_abort.v.

ard_r
Signal

Definition at line 74 of file axi_hp_abort.v.

ard
Signal

Definition at line 75 of file axi_hp_abort.v.

wwr
Signal

Definition at line 76 of file axi_hp_abort.v.

afi_rready_r
Signal

Definition at line 77 of file axi_hp_abort.v.

afi_wlast_r
Signal

Definition at line 78 of file axi_hp_abort.v.

busy_aborting
Signal

Definition at line 79 of file axi_hp_abort.v.

Definition at line 80 of file axi_hp_abort.v.


The documentation for this Module was generated from the following files: