x393  1.0
FPGAcodeforElphelNC393camera
timestamp_snapshot.v
Go to the documentation of this file.
1 
39 `timescale 1ns/1ps
40 
42 // input rst,
43  input tclk, // clock that drives time counters
44  input [31:0] sec, // @tclk: current time seconds
45  input [19:0] usec, // @tclk: current time microseconds
46  // snapshot destination clock domain
47  input sclk,
48  input srst, // @ posedge sclk - sync reset
49  input snap,
50  output reg pre_stb, // one clock pulse before sending TS data
51  output reg [7:0] ts_data // timestamp data (s0,s1,s2,s3,u0,u1,u2,u3==0)
52 );
53  wire snap_tclk;
54  reg [51:0] sec_usec_snap;
55  wire pulse_busy;
57  reg [2:0] cntr;
58  reg snd;
59  wire pre_stb_w;
60 
61  assign pre_stb_w = !pulse_busy && pulse_busy_r;
62 
63  always @ (posedge tclk) begin
64  if (snap_tclk) sec_usec_snap <= {usec,sec};
65  end
66 
67  always @(posedge sclk) begin
68  if (srst) snd <= 0;
69  else if (!pulse_busy && pulse_busy_r) snd <= 1;
70  else if ((&cntr) || snap) snd <= 0;
71  pre_stb <= pre_stb_w;
72  end
73 
74  always @(posedge sclk) begin
75 
77 
78  if (!snd) cntr <= 0;
79  else cntr <= cntr + 1;
80 
81  if (snd) case (cntr)
82  3'h0: ts_data <= sec_usec_snap[ 7: 0];
83  3'h1: ts_data <= sec_usec_snap[15: 8];
84  3'h2: ts_data <= sec_usec_snap[23:16];
85  3'h3: ts_data <= sec_usec_snap[31:24];
86  3'h4: ts_data <= sec_usec_snap[39:32];
87  3'h5: ts_data <= sec_usec_snap[47:40];
88  3'h6: ts_data <= {4'b0,sec_usec_snap[51:48]};
89  3'h7: ts_data <= 8'b0;
90  endcase
91  end
92 
94  .EXTRA_DLY (1)
95  ) snap_tclk_i (
96  .rst (srst), // input
97  .src_clk (sclk), // input
98  .dst_clk (tclk), // input
99  .in_pulse (snap), // input
100  .out_pulse (snap_tclk), // output
101  .busy (pulse_busy) // output
102  );
103 
104 
105 endmodule
106 
snap_tclk_i pulse_cross_clock