x393  1.0
FPGAcodeforElphelNC393camera
timestamp_fifo.v
Go to the documentation of this file.
1 
44 `timescale 1ns/1ps
45 
47 // input rst,
48  input sclk,
49  input srst, // @ posedge smclk - sync reset
50 
51  input pre_stb, // marks pre-first input byte (s0,s1,s2,s3,u0,u1,u2,u3)
52  input [7:0] din, // data in - valid for 8 cycles after pre_stb
53 
54  input aclk, // clock to synchronize "advance" commands
55  input arst, // @ posedge aclk - sync reset
56 
57  input advance, // @aclk advance registers
58 
59  input rclk, // output clock
60  input rrst, // @ posedge rclk - sync reset
61  input rstb, // @rclk, read start (data available next 8 cycles)
62  output reg [ 7:0] dout
63 );
64  reg [7:0] fifo_ram[0:15]; // 16x8 fifo
65  reg [3:0] wpntr; // input fifo pointer
66  reg rcv; // receive data
67  reg [3:0] rpntr; // fifo read pointer
68  reg [1:0] advance_r;
69  reg snd; // send data
70  reg snd_d;
71  always @ (posedge sclk) begin
72  if (srst) rcv <= 0;
73  else if (pre_stb) rcv <= 1;
74  else if (&wpntr[2:0]) rcv <= 0;
75 
76  if (srst) wpntr <= 0;
77  else if (!rcv) wpntr <= {wpntr[3],3'b0};
78  else wpntr <= wpntr + 1;
79  end
80 
81  always @ (posedge sclk) begin
82  if (rcv) fifo_ram[wpntr] <= din;
83  end
84 
85  always @(posedge aclk) begin
86  if (arst) advance_r <= 0;
87  else advance_r <= {advance_r[0], advance};
88  end
89 
90  always @(posedge aclk) begin
91  if (advance_r[0] && !advance_r[1]) rpntr[3] <= ~wpntr[3]; // previous value (now wpntr[3] is already inverted
92  end
93 
94  always @(posedge rclk) begin
95  if (rrst) snd <= 0;
96  else if (rstb) snd <= 1;
97  else if (&rpntr[2:1]) snd <= 0; // at count 6
98 
99  snd_d <= snd;
100 
101  if (rrst) rpntr[2:0] <= 0;
102  else if (!snd && !rstb) rpntr[2:0] <= 0;
103  else rpntr[2:0] <= rpntr[2:0] + 1;
104  end
105 
106  always @(posedge rclk) begin
107  if (rstb || snd || snd_d) dout <= fifo_ram[rpntr];
108  end
109 endmodule
110 
[0:15] 9615fifo_ramreg[7:0]
9619advance_rreg[1:0]
9618rpntrreg[3:0]
9616wpntrreg[3:0]
reg [ 7:0] 9614dout