x393  1.0
FPGAcodeforElphelNC393camera
x393_dut.v
Go to the documentation of this file.
1 
41 `timescale 1ns/1ps
42 `define COCOTB
43  *